Welcome to NYCU CSIT Mirror site

Index of /alpine/edge/testing/x86/

Index of /alpine/edge/testing/x86/


../
3proxy-0.9.4-r2.apk                                04-Apr-2025 05:40              382444
3proxy-doc-0.9.4-r2.apk                            04-Apr-2025 05:40               25279
3proxy-openrc-0.9.4-r2.apk                         04-Apr-2025 05:40                1672
66-0.8.0.2-r0.apk                                  11-Feb-2025 22:17              265385
66-dev-0.8.0.2-r0.apk                              11-Feb-2025 22:17              422181
66-doc-0.8.0.2-r0.apk                              11-Feb-2025 22:17              171792
66-init-0.8.0.2-r0.apk                             11-Feb-2025 22:17                1517
66-tools-0.1.1.0-r0.apk                            12-Jan-2025 13:12               53730
66-tools-dev-0.1.1.0-r0.apk                        12-Jan-2025 13:12                1824
66-tools-doc-0.1.1.0-r0.apk                        12-Jan-2025 13:12               39953
66-tools-nsrules-0.1.1.0-r0.apk                    12-Jan-2025 13:12                2874
9base-6-r2.apk                                     25-Oct-2024 20:10             1946367
9base-doc-6-r2.apk                                 25-Oct-2024 20:10               65252
9base-troff-6-r2.apk                               25-Oct-2024 20:10              498478
APKINDEX.tar.gz                                    26-Apr-2025 20:01              927090
a2jmidid-9-r3.apk                                  25-Oct-2024 20:10               31268
a2jmidid-doc-9-r3.apk                              25-Oct-2024 20:10                4288
abc-0_git20240102-r0.apk                           25-Oct-2024 20:10             5121588
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 20:10              159999
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 20:10               49751
acmetool-0.2.2-r12.apk                             12-Apr-2025 03:08             4958340
acmetool-doc-0.2.2-r12.apk                         12-Apr-2025 03:08               47783
adbd-0_git20250325-r0.apk                          14-Apr-2025 17:11               46677
adguardhome-0.107.61-r0.apk                        23-Apr-2025 22:52            11605357
adguardhome-openrc-0.107.61-r0.apk                 23-Apr-2025 22:52                2245
adjtimex-1.29-r0.apk                               25-Oct-2024 20:10               21201
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 20:10                7258
admesh-0.98.5-r0.apk                               25-Oct-2024 20:10               27923
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 20:10                4140
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 20:10               23995
advancemame-3.9-r4.apk                             25-Oct-2024 20:10            12539439
advancemame-data-3.9-r4.apk                        25-Oct-2024 20:10             6057083
advancemame-doc-3.9-r4.apk                         25-Oct-2024 20:10              382539
advancemame-menu-3.9-r4.apk                        25-Oct-2024 20:10              976013
advancemame-mess-3.9-r4.apk                        25-Oct-2024 20:10             3833843
advancescan-1.18-r1.apk                            25-Oct-2024 20:10              291071
advancescan-doc-1.18-r1.apk                        25-Oct-2024 20:10                7442
afetch-2.2.0-r1.apk                                25-Oct-2024 20:10                9542
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 20:10               13905
agate-3.3.8-r0.apk                                 25-Oct-2024 20:10              751225
agate-openrc-3.3.8-r0.apk                          25-Oct-2024 20:10                2031
agrep-0.8.0-r2.apk                                 25-Oct-2024 20:10                8264
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 20:10                4210
aide-0.18.8-r0.apk                                 25-Oct-2024 20:10               78924
aide-doc-0.18.8-r0.apk                             25-Oct-2024 20:10               14112
ajv-cli-6.0.0-r0.apk                               20-Feb-2025 22:45              161510
ajv-cli-doc-6.0.0-r0.apk                           20-Feb-2025 22:45                2295
alarmwakeup-0.2.1-r0.apk                           25-Oct-2024 20:10                7881
alarmwakeup-dbg-0.2.1-r0.apk                       25-Oct-2024 20:10               17201
alarmwakeup-dev-0.2.1-r0.apk                       25-Oct-2024 20:10                2616
alarmwakeup-libs-0.2.1-r0.apk                      25-Oct-2024 20:10                4681
alarmwakeup-utils-0.2.1-r0.apk                     25-Oct-2024 20:10                4335
alpine-lift-0.2.0-r22.apk                          12-Apr-2025 03:08             4006622
alps-0_git20230807-r11.apk                         12-Apr-2025 03:08             6027750
alps-openrc-0_git20230807-r11.apk                  12-Apr-2025 03:08                2066
alttab-1.7.1-r0.apk                                25-Oct-2024 20:10               37735
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 20:10               10548
amber-0.3.3-r0.apk                                 25-Oct-2024 20:10              424487
amber-mpris-1.2.9-r0.apk                           22-Dec-2024 17:04              231983
amber-mpris-dev-1.2.9-r0.apk                       22-Dec-2024 17:04                6877
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 20:10               14346
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 20:10                9846
amiitool-2-r2.apk                                  25-Oct-2024 20:10                8161
ampy-1.1.0-r6.apk                                  19-Mar-2025 11:45               15780
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 11:45                4195
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 11:45               19918
anarch-1.0-r1.apk                                  25-Oct-2024 20:10               99139
anarch-doc-1.0-r1.apk                              25-Oct-2024 20:10               18409
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 20:10              305996
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 20:10               60002
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 20:10              189242
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 20:10             1951375
android-file-transfer-4.3-r1.apk                   26-Jan-2025 19:50              198759
android-file-transfer-cli-4.3-r1.apk               26-Jan-2025 19:50              121007
android-file-transfer-dev-4.3-r1.apk               26-Jan-2025 19:50                1554
android-file-transfer-libs-4.3-r1.apk              26-Jan-2025 19:50              139711
android-translation-layer-0_git20250402-r0.apk     14-Apr-2025 17:11             2854302
android-translation-layer-dbg-0_git20250402-r0.apk 14-Apr-2025 17:11              752104
angband-4.2.5-r0.apk                               25-Oct-2024 20:10            23645794
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 20:10               37165
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 20:10               10333
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 20:10               66566
ansiweather-1.19.0-r1.apk                          25-Oct-2024 20:10                4836
ansiweather-doc-1.19.0-r1.apk                      25-Oct-2024 20:10                3097
antibody-6.1.1-r27.apk                             12-Apr-2025 03:08             1956271
antimicrox-3.4.0-r0.apk                            25-Oct-2024 20:10             1747825
antimicrox-doc-3.4.0-r0.apk                        25-Oct-2024 20:10               23630
anubis-1.16.0-r0.apk                               19-Apr-2025 23:28             4634973
anubis-doc-1.16.0-r0.apk                           19-Apr-2025 23:28                2319
aoetools-37-r2.apk                                 14-Jan-2025 16:36               23467
aoetools-doc-37-r2.apk                             14-Jan-2025 16:36               14079
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 20:10               59489
apache-mod-auth-openidc-2.4.16.11-r0.apk           20-Apr-2025 05:16              216825
apache-mod-auth-openidc-doc-2.4.16.11-r0.apk       20-Apr-2025 05:16                4557
apache-mod-auth-openidc-static-2.4.16.11-r0.apk    20-Apr-2025 05:16              274096
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 20:10                8516
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 20:10               10289
apache2-mod-perl-2.0.13-r1.apk                     25-Oct-2024 20:10              714268
apache2-mod-perl-dbg-2.0.13-r1.apk                 25-Oct-2024 20:10               67783
apache2-mod-perl-dev-2.0.13-r1.apk                 25-Oct-2024 20:10               40731
apache2-mod-perl-doc-2.0.13-r1.apk                 25-Oct-2024 20:10              310021
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 20:10                5172
apk-autoupdate-0_git20210421-r1.apk                18-Nov-2024 18:00               13628
apk-autoupdate-doc-0_git20210421-r1.apk            18-Nov-2024 18:00                7123
apk-snap-3.1.1-r0.apk                              25-Oct-2024 20:10                6807
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 20:10               20425
apk-tools3-3.0.0_rc4_git20250320-r0.apk            24-Mar-2025 11:33               56399
apk-tools3-dbg-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33              626995
apk-tools3-dev-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33               24353
apk-tools3-doc-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33               44573
apk-tools3-static-3.0.0_rc4_git20250320-r0.apk     24-Mar-2025 11:33             2146372
apmpkg-1.5.1-r3.apk                                25-Oct-2024 20:10             1724031
apmpkg-bash-completion-1.5.1-r3.apk                25-Oct-2024 20:10                2240
apmpkg-doc-1.5.1-r3.apk                            25-Oct-2024 20:10                3124
apmpkg-fish-completion-1.5.1-r3.apk                25-Oct-2024 20:10                2117
apmpkg-zsh-completion-1.5.1-r3.apk                 25-Oct-2024 20:10                2502
aports-glmr-0.2-r27.apk                            12-Apr-2025 03:08             2824228
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:55              421305
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:55              264504
aprilsh-0.7.12-r4.apk                              12-Apr-2025 03:08                1686
aprilsh-client-0.7.12-r4.apk                       12-Apr-2025 03:08             3573269
aprilsh-doc-0.7.12-r4.apk                          12-Apr-2025 03:08               14754
aprilsh-openrc-0.7.12-r4.apk                       12-Apr-2025 03:08                1874
aprilsh-server-0.7.12-r4.apk                       12-Apr-2025 03:08             2678556
apt-dater-1.0.4-r4.apk                             25-Oct-2024 20:10               58956
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 20:10               10111
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 20:10               13445
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 20:10                9673
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 20:10                4740
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:44               89094
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:44                3505
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:44               16147
apulse-0.1.13-r2.apk                               25-Oct-2024 20:10               44355
apulse-doc-0.1.13-r2.apk                           25-Oct-2024 20:10                2825
aqemu-0.9.4-r3.apk                                 25-Oct-2024 20:10             1808314
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 20:10                7699
aravis-0.8.31-r0.apk                               25-Oct-2024 20:10               46884
aravis-dev-0.8.31-r0.apk                           25-Oct-2024 20:10               35165
aravis-libs-0.8.31-r0.apk                          25-Oct-2024 20:10              193058
aravis-viewer-0.8.31-r0.apk                        25-Oct-2024 20:10               71453
aravis-viewer-lang-0.8.31-r0.apk                   25-Oct-2024 20:10               16379
arc-20221218-r0.apk                                25-Oct-2024 20:10                1790
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 20:10               69668
arc-dark-20221218-r0.apk                           25-Oct-2024 20:10                1797
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 20:10               70073
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 20:10               27728
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 20:10               39322
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 20:10               95513
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 20:10               88310
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 20:10               17889
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 20:10                8059
arc-darker-20221218-r0.apk                         25-Oct-2024 20:10                1808
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 20:10               39431
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 20:10              126715
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 20:10              112799
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 20:10               17904
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 20:10                8061
arc-gnome-20221218-r0.apk                          25-Oct-2024 20:10               29330
arc-gtk2-20221218-r0.apk                           25-Oct-2024 20:10               38433
arc-gtk3-20221218-r0.apk                           25-Oct-2024 20:10              128897
arc-gtk4-20221218-r0.apk                           25-Oct-2024 20:10              116247
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 20:10             4571268
arc-lighter-20221218-r0.apk                        25-Oct-2024 20:10                1809
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 20:10               38443
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 20:10              127571
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 20:10              115526
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 20:10               17706
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 20:10                7907
arc-metacity-20221218-r0.apk                       25-Oct-2024 20:10               17660
arc-theme-20221218-r0.apk                          25-Oct-2024 20:10                1481
arc-xfwm-20221218-r0.apk                           25-Oct-2024 20:10                7879
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 20:10             1707307
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 20:10               94169
art_standalone-0_git20250325-r0.apk                14-Apr-2025 17:11            19529028
art_standalone-dbg-0_git20250325-r0.apk            14-Apr-2025 17:11           137488097
art_standalone-dev-0_git20250325-r0.apk            14-Apr-2025 17:11             9034253
asdf-0.16.7-r1.apk                                 12-Apr-2025 03:08             5174441
asdf-doc-0.16.7-r1.apk                             12-Apr-2025 03:08                2299
aspell-es-1.11-r0.apk                              25-Oct-2024 20:10              545797
asymptote-2.91-r0.apk                              25-Oct-2024 20:10             1517780
asymptote-doc-2.91-r0.apk                          25-Oct-2024 20:10             2963229
atac-0.18.1-r0.apk                                 25-Nov-2024 21:58             4926673
atlantik-3.5.10_git20240323-r0.apk                 25-Oct-2024 20:10              405656
atlantik-doc-3.5.10_git20240323-r0.apk             25-Oct-2024 20:10               81213
atlantik-lang-3.5.10_git20240323-r0.apk            25-Oct-2024 20:10               70280
atomicparsley-20240608-r0.apk                      25-Oct-2024 20:10              114795
atool-0.39.0-r4.apk                                25-Oct-2024 20:10               18149
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 20:10                2092
atool-doc-0.39.0-r4.apk                            25-Oct-2024 20:10                9836
ats2-0.4.2-r0.apk                                  25-Oct-2024 20:10             1928409
aufs-util-20161219-r3.apk                          25-Oct-2024 20:10              193188
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 20:10                1494
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 20:10               34710
authenticator-rs-0.7.5-r0.apk                      25-Oct-2024 20:10             2041538
authenticator-rs-lang-0.7.5-r0.apk                 25-Oct-2024 20:10                3826
autoconf-policy-0.1-r0.apk                         25-Oct-2024 20:10                5613
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 20:10               36287
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 20:10               11856
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 20:10               55080
autorestic-1.8.3-r4.apk                            12-Apr-2025 03:08             4126216
autotrash-0.4.7-r0.apk                             25-Oct-2024 20:10               23360
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 20:10               14390
avahi2dns-0.0.1_git20240102-r6.apk                 12-Apr-2025 03:08             2688555
avahi2dns-openrc-0.0.1_git20240102-r6.apk          12-Apr-2025 03:08                1817
avara-0.7.1-r1.apk                                 04-Nov-2024 08:53            22442334
avarice-2.14-r4.apk                                25-Oct-2024 20:10               66513
avarice-doc-2.14-r4.apk                            25-Oct-2024 20:10                9672
avida-0_git20190813-r1.apk                         25-Oct-2024 20:10             2113677
avra-1.4.2-r0.apk                                  25-Oct-2024 20:10               39964
avra-dev-1.4.2-r0.apk                              25-Oct-2024 20:10              260855
aws-lc-1.49.1-r1.apk                               12-Apr-2025 03:08             1033730
aws-lc-dev-1.49.1-r1.apk                           12-Apr-2025 03:08              421438
aws-lc-tools-1.49.1-r1.apk                         12-Apr-2025 03:08              138860
azote-1.14.0-r0.apk                                14-Dec-2024 20:38             7979170
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38              100358
azpainter-3.0.11-r0.apk                            22-Feb-2025 11:10              916732
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 11:10               42905
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 20:10              773803
b2-tools-4.2.0-r0.apk                              12-Jan-2025 13:27               73992
b2-tools-pyc-4.2.0-r0.apk                          12-Jan-2025 13:27              138701
b2sum-20190729-r2.apk                              25-Oct-2024 20:10               16443
b2sum-doc-20190729-r2.apk                          25-Oct-2024 20:10                2800
backup-manager-0.7.15-r1.apk                       25-Oct-2024 20:10               56643
baikal-0.10.1-r0.apk                               10-Nov-2024 17:10             1310293
baikal-mysql-0.10.1-r0.apk                         10-Nov-2024 17:10                1258
baikal-pgsql-0.10.1-r0.apk                         10-Nov-2024 17:10                1263
baikal-sqlite-0.10.1-r0.apk                        10-Nov-2024 17:10                1410
bake-2.5.1-r0.apk                                  25-Oct-2024 20:10              124189
bakelite-0.4.2-r0.apk                              25-Oct-2024 20:10               44941
bananui-2.0.0-r0.apk                               25-Oct-2024 20:10               56649
bananui-clock-0.1.0-r0.apk                         25-Oct-2024 20:10                7405
bananui-daemons-0.1.0-r0.apk                       25-Oct-2024 20:10               46969
bananui-dbg-2.0.0-r0.apk                           25-Oct-2024 20:10              160103
bananui-demos-2.0.0-r0.apk                         25-Oct-2024 20:10                9087
bananui-dev-2.0.0-r0.apk                           25-Oct-2024 20:10               86199
bananui-shell-0.2.0-r0.apk                         25-Oct-2024 20:10              105771
bankstown-lv2-1.1.0-r0.apk                         25-Oct-2024 20:10              167324
baresip-3.20.0-r0.apk                              25-Feb-2025 12:48             1141844
baresip-dev-3.20.0-r0.apk                          25-Feb-2025 12:48               16198
barman-3.13.0-r0.apk                               25-Feb-2025 06:30              353706
barman-bash-completion-3.13.0-r0.apk               25-Feb-2025 06:30                1619
barman-doc-3.13.0-r0.apk                           25-Feb-2025 06:30               82163
barman-pyc-3.13.0-r0.apk                           25-Feb-2025 06:30              563477
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 20:10              144164
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 20:10                2827
barrier-2.4.0-r2.apk                               17-Feb-2025 09:34             1037390
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 09:34               13302
bartib-1.0.1-r1.apk                                25-Oct-2024 20:10              374063
base64c-0.2.1-r0.apk                               25-Oct-2024 20:10                4543
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 20:10                5584
batmon-0.0.1-r0.apk                                25-Oct-2024 20:10              458819
bcg729-1.1.1-r0.apk                                25-Oct-2024 20:10               35211
bcg729-dev-1.1.1-r0.apk                            25-Oct-2024 20:10                3546
bchunk-1.2.2-r3.apk                                25-Oct-2024 20:10                7414
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 20:10                3068
bdfr-2.6.2-r1.apk                                  25-Oct-2024 20:10              134076
beancount-language-server-1.3.6-r0.apk             05-Nov-2024 23:38             1322139
beard-0.4-r0.apk                                   25-Oct-2024 20:10                3216
beard-doc-0.4-r0.apk                               25-Oct-2024 20:10                2537
bees-0.10-r2.apk                                   25-Oct-2024 20:10              338228
bees-openrc-0.10-r2.apk                            25-Oct-2024 20:10                1990
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48               11780
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48               11730
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48              226984
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:51              654620
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:51               55167
belr-5.3.105-r0.apk                                25-Feb-2025 12:52              120036
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52               14884
berry-lang-1.1.0-r0.apk                            25-Oct-2024 20:10              129779
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 20:10               22950
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 20:10                1720
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 20:11            18463007
bettercap-2.41.0-r0.apk                            12-Apr-2025 13:03            20098646
bettercap-doc-2.41.0-r0.apk                        12-Apr-2025 13:03               13920
bgpq4-1.15-r0.apk                                  25-Oct-2024 20:11               32769
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 20:11                6494
bgs-0.8-r1.apk                                     25-Oct-2024 20:11                5752
bgs-doc-0.8-r1.apk                                 25-Oct-2024 20:11                2358
bindfs-1.17.7-r0.apk                               03-Jan-2025 14:34               22181
bindfs-doc-1.17.7-r0.apk                           03-Jan-2025 14:34                9220
biometryd-0.3.1-r7.apk                             17-Feb-2025 09:34              334356
biometryd-dev-0.3.1-r7.apk                         17-Feb-2025 09:34               13607
bionic_translation-0_git20250324-r0.apk            14-Apr-2025 17:11               51146
bionic_translation-dbg-0_git20250324-r0.apk        14-Apr-2025 17:11              125320
bionic_translation-dev-0_git20250324-r0.apk        14-Apr-2025 17:11                1802
bird3-3.1.0-r0.apk                                 12-Apr-2025 03:08              575994
bird3-dbg-3.1.0-r0.apk                             12-Apr-2025 03:08             2238220
bird3-openrc-3.1.0-r0.apk                          12-Apr-2025 03:08                2417
birdtray-1.9.0-r1.apk                              25-Oct-2024 20:11              431361
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 20:11               69058
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 20:11               53422
bitritter-0.1.1-r0.apk                             25-Oct-2024 20:11             2233041
bkt-0.8.0-r0.apk                                   25-Oct-2024 20:11              374269
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 20:11                7428
blackbox-1.20220610-r1.apk                         25-Oct-2024 20:11               16085
blip-0.10-r0.apk                                   25-Oct-2024 20:11               15662
blip-doc-0.10-r0.apk                               25-Oct-2024 20:11               30341
bliss-0.77-r1.apk                                  25-Oct-2024 20:11               76484
bliss-dev-0.77-r1.apk                              25-Oct-2024 20:11              116213
bobcat-4.09.00-r0.apk                              25-Oct-2024 20:11              747848
bobcat-dev-4.09.00-r0.apk                          25-Oct-2024 20:11              666664
bobcat-doc-4.09.00-r0.apk                          25-Oct-2024 20:11              353713
bochs-2.8-r1.apk                                   20-Feb-2025 18:40              966312
bochs-doc-2.8-r1.apk                               20-Feb-2025 18:40              142331
boinc-7.24.3-r0.apk                                25-Oct-2024 20:11             1681172
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 20:11              604367
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 20:11                8186
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 20:11             1079513
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 20:11              898000
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 20:11              219035
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 20:11              144070
bomctl-0.1.9-r5.apk                                12-Apr-2025 03:08             9962572
bomctl-bash-completion-0.1.9-r5.apk                12-Apr-2025 03:08                5262
bomctl-fish-completion-0.1.9-r5.apk                12-Apr-2025 03:08                4451
bomctl-zsh-completion-0.1.9-r5.apk                 12-Apr-2025 03:08                4163
bonzomatic-20230615-r0.apk                         25-Oct-2024 20:11              664813
bootchart2-0.14.9-r0.apk                           03-Jan-2025 14:34              140041
bootinfo-0.1.0-r4.apk                              25-Oct-2024 20:11               19899
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 20:11                8443
bootloose-0.7.1-r10.apk                            12-Apr-2025 03:08             2365787
bootterm-0.5-r0.apk                                25-Oct-2024 20:11               20483
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 20:11                2388
bore-0.5.2-r0.apk                                  15-Dec-2024 19:26              579435
boson-0_git20211219-r0.apk                         25-Oct-2024 20:11               19571
boxed-cpp-1.4.3-r0.apk                             25-Oct-2024 20:11                1216
boxed-cpp-dev-1.4.3-r0.apk                         25-Oct-2024 20:11                6375
boxed-cpp-doc-1.4.3-r0.apk                         25-Oct-2024 20:11                5577
boxes-2.3.1-r0.apk                                 25-Oct-2024 20:11               84110
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 20:11                7285
brial-1.2.11-r4.apk                                25-Oct-2024 20:11             1116218
brial-dev-1.2.11-r4.apk                            25-Oct-2024 20:11             1690064
brltty-6.7-r1.apk                                  29-Mar-2025 15:58             2264532
brltty-dev-6.7-r1.apk                              29-Mar-2025 15:58              143446
brltty-doc-6.7-r1.apk                              29-Mar-2025 15:58                9615
brltty-lang-6.7-r1.apk                             29-Mar-2025 15:58              152259
brltty-static-6.7-r1.apk                           29-Mar-2025 15:58               24585
btfs-2.24-r12.apk                                  25-Oct-2024 20:11               33219
btfs-doc-2.24-r12.apk                              25-Oct-2024 20:11                2443
btpd-0.16-r2.apk                                   25-Oct-2024 20:11               92787
btpd-doc-0.16-r2.apk                               25-Oct-2024 20:11                8599
buildcache-0.28.9-r0.apk                           25-Oct-2024 20:11              867425
bump2version-1.0.1-r6.apk                          25-Oct-2024 20:11               21349
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 20:11               29806
burp-3.1.4-r0.apk                                  25-Oct-2024 20:11              208241
burp-doc-3.1.4-r0.apk                              25-Oct-2024 20:11              101800
burp-server-3.1.4-r0.apk                           25-Oct-2024 20:11               37202
butane-0.22.0-r4.apk                               12-Apr-2025 03:08             3322938
bwrap-oci-0.2-r1.apk                               25-Oct-2024 20:11               17867
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 20:11                2547
c2rust-0.20.0-r0.apk                               19-Mar-2025 11:45             2013520
cadence-0.9.2-r0.apk                               25-Oct-2024 20:11             1985020
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 20:11              102810
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 20:11                3234
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 20:11               35183
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11               28428
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:08               46259
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:08               38142
caps2esc-0.3.2-r0.apk                              25-Oct-2024 20:11                4727
care-2.3.0-r1.apk                                  25-Oct-2024 20:11              104865
care-doc-2.3.0-r1.apk                              25-Oct-2024 20:11                8076
cargo-credential-oo7-0.4.3-r1.apk                  20-Apr-2025 20:35              846770
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:14             6489862
cargo-expand-1.0.106-r0.apk                        07-Apr-2025 20:03             2419232
cargo-expand-doc-1.0.106-r0.apk                    07-Apr-2025 20:03                5791
cargo-flamegraph-0.6.7-r0.apk                      13-Jan-2025 11:49             1317360
cargo-flamegraph-bash-completion-0.6.7-r0.apk      13-Jan-2025 11:49                2388
cargo-flamegraph-doc-0.6.7-r0.apk                  13-Jan-2025 11:49               15105
cargo-flamegraph-fish-completion-0.6.7-r0.apk      13-Jan-2025 11:49                2566
cargo-flamegraph-zsh-completion-0.6.7-r0.apk       13-Jan-2025 11:49                2925
cargo-generate-0.22.1-r0.apk                       24-Feb-2025 15:10             2424704
cargo-machete-0.7.0-r0.apk                         28-Dec-2024 18:16             1275783
cargo-machete-doc-0.7.0-r0.apk                     28-Dec-2024 18:16                4043
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 20:11              458658
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 20:11                5189
cargo-show-asm-0.2.49-r0.apk                       13-Apr-2025 10:43              877823
cargo-show-asm-doc-0.2.49-r0.apk                   13-Apr-2025 10:43               10240
cargo-shuttle-0.53.0-r0.apk                        13-Mar-2025 17:15             4564680
cargo-shuttle-bash-completion-0.53.0-r0.apk        13-Mar-2025 17:15                4816
cargo-shuttle-doc-0.53.0-r0.apk                    13-Mar-2025 17:15                9272
cargo-shuttle-fish-completion-0.53.0-r0.apk        13-Mar-2025 17:15                7549
cargo-shuttle-zsh-completion-0.53.0-r0.apk         13-Mar-2025 17:15                7486
cargo-sort-1.1.0-r0.apk                            23-Mar-2025 13:52              446373
cargo-sqlx-0.8.5-r0.apk                            17-Apr-2025 20:20             1860494
cargo-udeps-0.1.55-r1.apk                          24-Feb-2025 15:10             5221595
cargo-udeps-doc-0.1.55-r1.apk                      24-Feb-2025 15:10                7598
cargo-update-16.2.1-r0.apk                         23-Mar-2025 13:24             1196372
cargo-update-doc-16.2.1-r0.apk                     23-Mar-2025 13:24                8480
cargo-vendor-filterer-0.5.9-r1.apk                 25-Oct-2024 20:11              469407
castero-0.9.5-r3.apk                               25-Oct-2024 20:11               53103
castero-pyc-0.9.5-r3.apk                           25-Oct-2024 20:11               97209
castor-0.9.0-r2.apk                                25-Oct-2024 20:11              728515
catcodec-1.0.5-r2.apk                              25-Oct-2024 20:11               13993
catcodec-doc-1.0.5-r2.apk                          25-Oct-2024 20:11                5030
catdoc-0.95-r1.apk                                 25-Oct-2024 20:11              114941
catdoc-doc-0.95-r1.apk                             25-Oct-2024 20:11                9470
catfish-4.20.0-r0.apk                              27-Dec-2024 10:36              129328
catfish-doc-4.20.0-r0.apk                          27-Dec-2024 10:36               13204
catfish-lang-4.20.0-r0.apk                         27-Dec-2024 10:36              166278
catfish-pyc-4.20.0-r0.apk                          27-Dec-2024 10:36              103528
cava-0.10.4-r1.apk                                 17-Feb-2025 22:17               43350
cbqn-0.9.0-r0.apk                                  25-Mar-2025 15:47             1014796
cc65-2.19-r0.apk                                   25-Oct-2024 20:11             9334594
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 20:11              114727
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 20:11               53976
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 20:11               32016
ccze-0.2.1-r1.apk                                  25-Oct-2024 20:11               52010
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 20:11                3399
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 20:11                9054
cdba-1.0-r2.apk                                    25-Oct-2024 20:11                8152
cdba-server-1.0-r2.apk                             25-Oct-2024 20:11               22724
cddlib-0.94m-r2.apk                                25-Oct-2024 20:11              223779
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 20:11               14429
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 20:11              884462
cddlib-static-0.94m-r2.apk                         25-Oct-2024 20:11              291571
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 20:11               37107
cdist-7.0.0-r6.apk                                 25-Oct-2024 20:11              523254
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 20:11              130640
cdogs-sdl-2.1.0-r0.apk                             25-Oct-2024 20:11            35233958
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:05                9525
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:05                4313
certbot-dns-pdns-0.1.1-r0.apk                      25-Oct-2024 20:11                9063
certbot-dns-pdns-pyc-0.1.1-r0.apk                  25-Oct-2024 20:11                3960
certigo-1.16.0-r22.apk                             12-Apr-2025 03:08             4082729
certstrap-1.3.0-r23.apk                            12-Apr-2025 03:08             2522795
cfssl-1.6.5-r4.apk                                 12-Apr-2025 03:08            31903557
cgiirc-0.5.12-r1.apk                               25-Oct-2024 20:11              136345
cgo-0.6.1-r1.apk                                   25-Oct-2024 20:11               11015
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 20:11                4214
chamo-4.0-r0.apk                                   25-Oct-2024 20:11             6130524
chamo-byte-4.0-r0.apk                              25-Oct-2024 20:11             1528891
chamo-dev-4.0-r0.apk                               25-Oct-2024 20:11             4250138
charls-2.4.2-r0.apk                                25-Oct-2024 20:11               68945
charls-dev-2.4.2-r0.apk                            25-Oct-2024 20:11               27247
chasquid-1.15.0-r3.apk                             12-Apr-2025 03:08            12074253
chasquid-doc-1.15.0-r3.apk                         12-Apr-2025 03:08               15664
chasquid-openrc-1.15.0-r3.apk                      12-Apr-2025 03:08                1993
checkpolicy-3.6-r0.apk                             25-Oct-2024 20:11              362583
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 20:11                4271
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40             2787408
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40                2153
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40              879165
chicago95-3.0.1_git20240619-r0.apk                 25-Oct-2024 20:11              492651
chicago95-fonts-3.0.1_git20240619-r0.apk           25-Oct-2024 20:11              219990
chicago95-icons-3.0.1_git20240619-r0.apk           25-Oct-2024 20:11            12587316
chim-1.1.2-r1.apk                                  25-Oct-2024 20:11             1779340
chim-doc-1.1.2-r1.apk                              25-Oct-2024 20:11                2881
chocolate-doom-3.1.0-r0.apk                        25-Oct-2024 20:11             1674212
chocolate-doom-doc-3.1.0-r0.apk                    25-Oct-2024 20:11              236895
cilium-cli-0.16.13-r4.apk                          12-Apr-2025 03:08            59290937
cilium-cli-bash-completion-0.16.13-r4.apk          12-Apr-2025 03:08                5187
cilium-cli-fish-completion-0.16.13-r4.apk          12-Apr-2025 03:08                4435
cilium-cli-zsh-completion-0.16.13-r4.apk           12-Apr-2025 03:08                4147
cimg-3.4.1-r0.apk                                  25-Oct-2024 20:11              845798
circuslinux-1.0.3-r1.apk                           25-Oct-2024 20:11               20317
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 20:11             1181487
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 20:11               18401
ckb-next-0.6.2-r0.apk                              19-Mar-2025 11:45             1449602
ckb-next-daemon-0.6.2-r0.apk                       19-Mar-2025 11:45               71486
ckb-next-daemon-openrc-0.6.2-r0.apk                19-Mar-2025 11:45                1833
ckb-next-dev-0.6.2-r0.apk                          19-Mar-2025 11:45                4999
clatd-1.6-r0.apk                                   25-Oct-2024 20:11               12914
clementine-1.4.1_git20250121-r2.apk                12-Apr-2025 03:08             6847385
clevis-21-r0.apk                                   20-Jan-2025 04:17               54822
clevis-bash-completion-21-r0.apk                   20-Jan-2025 04:17                2088
clevis-dbg-21-r0.apk                               20-Jan-2025 04:17               58574
clevis-doc-21-r0.apk                               20-Jan-2025 04:17               23728
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 20:11                4769
click-0.5.2-r4.apk                                 17-Feb-2025 09:34              163448
click-dev-0.5.2-r4.apk                             17-Feb-2025 09:34                9342
click-doc-0.5.2-r4.apk                             17-Feb-2025 09:34                3389
click-pyc-0.5.2-r4.apk                             17-Feb-2025 09:34              178970
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 20:11               47586
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 20:11                6628
cliphist-0.6.1-r4.apk                              12-Apr-2025 03:08              989738
cliphist-fzf-0.6.1-r4.apk                          12-Apr-2025 03:08                1839
clipit-1.4.5-r3.apk                                25-Oct-2024 20:11               68438
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 20:11                2443
cliquer-1.22-r2.apk                                25-Oct-2024 20:11                8277
cliquer-dev-1.22-r2.apk                            25-Oct-2024 20:11                7602
cliquer-libs-1.22-r2.apk                           25-Oct-2024 20:11               26766
cliquer-static-1.22-r2.apk                         25-Oct-2024 20:11               30486
cliquer-tests-1.22-r2.apk                          25-Oct-2024 20:11               24622
cln-1.3.7-r0.apk                                   25-Oct-2024 20:11              497705
cln-dev-1.3.7-r0.apk                               25-Oct-2024 20:11             1124509
cln-doc-1.3.7-r0.apk                               25-Oct-2024 20:11               79658
cloudflared-2024.12.1-r4.apk                       12-Apr-2025 03:08            10035764
cloudflared-doc-2024.12.1-r4.apk                   12-Apr-2025 03:08                1958
cloudflared-openrc-2024.12.1-r4.apk                12-Apr-2025 03:08                1836
cloudfoundry-cli-8.7.9-r8.apk                      12-Apr-2025 03:08             9667867
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 20:11              254576
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 20:11              980146
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 20:11               33720
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 20:11              114756
cmusfm-0.5.0-r0.apk                                25-Oct-2024 20:11               15376
coccinelle-1.1.1-r2.apk                            25-Oct-2024 20:11             6672741
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 20:11                2921
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 20:11               16356
cocogitto-6.3.0-r0.apk                             21-Mar-2025 19:28             1873256
cocogitto-bash-completion-6.3.0-r0.apk             21-Mar-2025 19:28                3115
cocogitto-doc-6.3.0-r0.apk                         21-Mar-2025 19:28               38705
cocogitto-fish-completion-6.3.0-r0.apk             21-Mar-2025 19:28                3406
cocogitto-zsh-completion-6.3.0-r0.apk              21-Mar-2025 19:28                3119
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:38              379818
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:38                8181
codeberg-cli-0.4.9-r0.apk                          10-Mar-2025 21:24             2051678
codeberg-cli-bash-completion-0.4.9-r0.apk          10-Mar-2025 21:24                5039
codeberg-cli-fish-completion-0.4.9-r0.apk          10-Mar-2025 21:24                4887
codeberg-cli-zsh-completion-0.4.9-r0.apk           10-Mar-2025 21:24                6852
coin-4.0.3-r0.apk                                  13-Dec-2024 20:40             3526755
coin-dev-4.0.3-r0.apk                              13-Dec-2024 20:40              334054
colormake-0.9.20170221-r0.apk                      25-Oct-2024 20:11                4128
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 20:11                2726
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 20:11                4317
comics-downloader-0.33.8-r9.apk                    12-Apr-2025 03:08             4107351
comics-downloader-gui-0.33.8-r9.apk                12-Apr-2025 03:08             5975832
commoncpp-7.0.1-r1.apk                             25-Oct-2024 20:11              325692
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 20:11              177181
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 20:11               15186
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 20:11               45018
compiz-0.9.14.2-r9.apk                             12-Apr-2025 03:08             6639767
compiz-dev-0.9.14.2-r9.apk                         12-Apr-2025 03:08              119986
compiz-lang-0.9.14.2-r9.apk                        12-Apr-2025 03:08             1265443
compiz-pyc-0.9.14.2-r9.apk                         12-Apr-2025 03:08              114200
compiz-utils-0.9.14.2-r9.apk                       12-Apr-2025 03:08                3409
conntracct-0.2.7-r30.apk                           12-Apr-2025 03:08             5497433
conntracct-openrc-0.2.7-r30.apk                    12-Apr-2025 03:08                1969
console_bridge-1.0.2-r0.apk                        25-Oct-2024 20:11               10003
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 20:11                4842
consul-replicate-0.4.0-r30.apk                     12-Apr-2025 03:08             3164954
contractor-0.3.5-r0.apk                            12-Nov-2024 21:54               28008
convert2json-2.1.0-r1.apk                          23-Apr-2025 21:27             2935103
convert2json-doc-2.1.0-r1.apk                      23-Apr-2025 21:27               10995
copyq-9.1.0-r1.apk                                 12-Dec-2024 17:43             2814134
copyq-bash-completion-9.1.0-r1.apk                 12-Dec-2024 17:43                2267
copyq-doc-9.1.0-r1.apk                             12-Dec-2024 17:43                3523
cortex-tenant-1.15.2-r5.apk                        12-Apr-2025 03:08             4625263
cortex-tenant-openrc-1.15.2-r5.apk                 12-Apr-2025 03:08                2094
cosmic-icons-1.0.0_alpha7-r0.apk                   25-Apr-2025 06:18              237026
cowsay-3.04-r2.apk                                 25-Oct-2024 20:11               18818
cowsay-doc-3.04-r2.apk                             25-Oct-2024 20:11                4095
coxeter-3.0-r1.apk                                 25-Oct-2024 20:11               49690
coxeter-dev-3.0-r1.apk                             25-Oct-2024 20:11               58102
coxeter-libs-3.0-r1.apk                            25-Oct-2024 20:11              346175
cpdf-2.8-r0.apk                                    23-Mar-2025 07:18             2030040
cpdf-doc-2.8-r0.apk                                23-Mar-2025 07:18              548464
cpiped-0.1.0-r0.apk                                25-Oct-2024 20:11                6933
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:24               82225
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:24              101877
cpu-x-5.2.0-r0.apk                                 06-Apr-2025 17:07             2246917
cpu-x-bash-completion-5.2.0-r0.apk                 06-Apr-2025 17:07                2000
cpu-x-fish-completion-5.2.0-r0.apk                 06-Apr-2025 17:07                2203
cpu-x-lang-5.2.0-r0.apk                            06-Apr-2025 17:07              272239
cpu-x-zsh-completion-5.2.0-r0.apk                  06-Apr-2025 17:07                2110
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 20:11                4151
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 20:11               36819
create-tauri-app-4.5.9-r0.apk                      25-Dec-2024 22:08              664394
create-tauri-app-doc-4.5.9-r0.apk                  25-Dec-2024 22:08                6234
createrepo_c-1.1.4-r0.apk                          25-Oct-2024 20:11               50937
createrepo_c-bash-completion-1.1.4-r0.apk          25-Oct-2024 20:11                2948
createrepo_c-dev-1.1.4-r0.apk                      25-Oct-2024 20:11               32226
createrepo_c-doc-1.1.4-r0.apk                      25-Oct-2024 20:11                8862
createrepo_c-libs-1.1.4-r0.apk                     25-Oct-2024 20:11               91485
crispy-doom-7.0-r0.apk                             25-Oct-2024 20:11             1844888
crispy-doom-doc-7.0-r0.apk                         25-Oct-2024 20:11              109393
critcl-3.3.1-r0.apk                                25-Oct-2024 20:11              444288
critcl-doc-3.3.1-r0.apk                            25-Oct-2024 20:11              806197
crossplane-0.5.8-r3.apk                            25-Oct-2024 20:11               30926
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 20:11               40188
crosstool-ng-1.27.0-r0.apk                         06-Feb-2025 04:49             2159169
crosstool-ng-bash-completion-1.27.0-r0.apk         06-Feb-2025 04:49                2163
crosstool-ng-doc-1.27.0-r0.apk                     06-Feb-2025 04:49               33976
crowdsec-1.6.8-r1.apk                              12-Apr-2025 03:08            38598974
crowdsec-email-plugin-1.6.8-r1.apk                 12-Apr-2025 03:08             9456477
crowdsec-http-plugin-1.6.8-r1.apk                  12-Apr-2025 03:08             9414165
crowdsec-openrc-1.6.8-r1.apk                       12-Apr-2025 03:08                1846
crowdsec-sentinel-plugin-1.6.8-r1.apk              12-Apr-2025 03:08             9408324
crowdsec-slack-plugin-1.6.8-r1.apk                 12-Apr-2025 03:08             9453663
crowdsec-splunk-plugin-1.6.8-r1.apk                12-Apr-2025 03:08             9407752
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:35             1134162
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:35               13202
cscope-15.9-r1.apk                                 25-Oct-2024 20:11              158841
cscope-doc-15.9-r1.apk                             25-Oct-2024 20:11                7674
csfml-2.5.2-r0.apk                                 25-Oct-2024 20:11              106817
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 20:11               79053
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 20:11              208904
csmith-2.3.0-r2.apk                                25-Oct-2024 20:11              337487
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 20:11                3148
csol-1.6.0-r0.apk                                  25-Oct-2024 20:11               38063
csol-doc-1.6.0-r0.apk                              25-Oct-2024 20:11                3942
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 20:11              101248
cups-pdf-3.0.1-r2.apk                              25-Oct-2024 20:11               21826
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 20:11               27260
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 20:11                6268
curtail-1.11.1-r0.apk                              25-Oct-2024 20:11               27379
curtail-lang-1.11.1-r0.apk                         25-Oct-2024 20:11               67461
cutechess-1.3.1-r0.apk                             25-Oct-2024 20:11             1158877
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 20:11              357002
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 20:11                6739
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 20:11                3654
cvise-2.11.0-r0.apk                                08-Mar-2025 13:29             6223034
cvise-pyc-2.11.0-r0.apk                            08-Mar-2025 13:29               61139
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 20:11               47835
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 20:11               17867
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 20:11                8868
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 20:11                6935
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 20:11                2328
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 20:11                7260
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 20:11              224311
daemontools-0.76-r3.apk                            25-Oct-2024 20:11               67089
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 20:11                2009
daktilo-0.6.0-r0.apk                               25-Oct-2024 20:11             1853367
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 20:11                2217
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 20:11                8871
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 20:11                1982
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 20:11                2324
darts-clone-0_git20181117-r0.apk                   25-Oct-2024 20:11               41449
darts-clone-dev-0_git20181117-r0.apk               25-Oct-2024 20:11               13118
dasht-2.4.0-r0.apk                                 25-Oct-2024 20:11               14583
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 20:11               11679
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 20:11                2136
davmail-6.3.0-r0.apk                               17-Apr-2025 17:47             9864548
dbmate-2.26.0-r1.apk                               12-Apr-2025 03:08            11818699
dbmate-doc-2.26.0-r1.apk                           12-Apr-2025 03:08                2319
dbus-broker-36-r0.apk                              25-Oct-2024 20:11               83787
dbus-broker-doc-36-r0.apk                          25-Oct-2024 20:11                5982
dcmtk-3.6.9-r0.apk                                 19-Jan-2025 17:13             1354209
dcmtk-dev-3.6.9-r0.apk                             19-Jan-2025 17:13             1697567
dcmtk-doc-3.6.9-r0.apk                             19-Jan-2025 17:13              263590
dcmtk-openrc-3.6.9-r0.apk                          19-Jan-2025 17:13                1728
dcnnt-0.10.0-r1.apk                                25-Oct-2024 20:11               28449
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 20:11                6749
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 20:11               63152
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 11:45               19577
ddgr-2.2-r0.apk                                    25-Oct-2024 20:11               20577
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 20:11                2278
ddgr-doc-2.2-r0.apk                                25-Oct-2024 20:11               11825
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 20:11                2356
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 20:11                2761
ddnrs-0.3.0-r0.apk                                 25-Oct-2024 20:11              990049
ddnrs-openrc-0.3.0-r0.apk                          25-Oct-2024 20:11                2037
ddserver-0_git20200930-r1.apk                      25-Oct-2024 20:11               13647
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 20:11                6397
debconf-1.5.82-r0.apk                              25-Oct-2024 20:11               71070
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 20:11                1901
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 20:11               27449
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 20:11              135607
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 20:11                6820
decoder-0.7.0-r0.apk                               11-Apr-2025 18:13             2094709
decoder-lang-0.7.0-r0.apk                          11-Apr-2025 18:13               60379
dehydrated-0.7.1-r0.apk                            25-Oct-2024 20:11               27071
desed-1.2.1-r1.apk                                 25-Oct-2024 20:11              412860
desed-doc-1.2.1-r1.apk                             25-Oct-2024 20:11                2950
desync-0.9.6-r4.apk                                12-Apr-2025 03:08             8347730
detox-2.0.0-r0.apk                                 25-Oct-2024 20:11              111024
detox-doc-2.0.0-r0.apk                             25-Oct-2024 20:11               21234
devil-1.8.0-r0.apk                                 25-Oct-2024 20:11              275709
devil-dev-1.8.0-r0.apk                             25-Oct-2024 20:11               13209
dewduct-0.2.3-r0.apk                               25-Oct-2024 20:11             1173402
dfl-applications-0.2.0-r0.apk                      25-Oct-2024 20:11               36494
dfl-applications-dev-0.2.0-r0.apk                  25-Oct-2024 20:11                4227
dfl-ipc-0.2.0-r0.apk                               25-Oct-2024 20:11               22050
dfl-ipc-dev-0.2.0-r0.apk                           25-Oct-2024 20:11                3697
dfl-login1-0.2.0-r0.apk                            25-Oct-2024 20:11               18914
dfl-login1-dev-0.2.0-r0.apk                        25-Oct-2024 20:11                3542
dfl-sni-0.2.0-r0.apk                               25-Oct-2024 20:11               34971
dfl-sni-dev-0.2.0-r0.apk                           25-Oct-2024 20:11                4229
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 20:11               33433
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 20:11                2853
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 20:11                5902
dhewm3-1.5.4-r0.apk                                17-Feb-2025 09:34             5242053
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49              342040
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49               18490
disfetch-3.7-r0.apk                                25-Oct-2024 20:11                8491
diskonaut-0.11.0-r3.apk                            25-Oct-2024 20:11              464937
diskus-0.7.0-r2.apk                                25-Oct-2024 20:11              340424
dislocker-0.7.3-r5.apk                             25-Oct-2024 20:11               13257
dislocker-doc-0.7.3-r5.apk                         25-Oct-2024 20:11                6209
dislocker-libs-0.7.3-r5.apk                        25-Oct-2024 20:11               48621
dissent-0.0.32-r4.apk                              12-Apr-2025 03:08            16419598
dive-0.13.0-r1.apk                                 12-Apr-2025 03:08             4225347
dlib-19.24.4-r0.apk                                25-Oct-2024 20:11              849454
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 20:11             2546837
dmarc-cat-0.15.0-r4.apk                            12-Apr-2025 03:08             3049812
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:02               25882
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:02                1897
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:02               47576
dnscontrol-4.18.0-r1.apk                           12-Apr-2025 03:08            16025513
dnscontrol-doc-4.18.0-r1.apk                       12-Apr-2025 03:08                2347
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 20:11               30536
dnsenum-1.3.2-r0.apk                               25-Oct-2024 20:11               21737
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 20:11                5364
dnsperf-2.14.0-r0.apk                              25-Oct-2024 20:11               78737
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 20:11               35777
dnssec-tools-2.2.3-r12.apk                         25-Oct-2024 20:11              785315
dnssec-tools-dev-2.2.3-r12.apk                     25-Oct-2024 20:11              190417
dnssec-tools-doc-2.2.3-r12.apk                     25-Oct-2024 20:11              322982
doasedit-1.0.7-r0.apk                              25-Oct-2024 20:11                3668
docker-auth-1.13.0-r3.apk                          12-Apr-2025 03:08            10885040
docker-auth-doc-1.13.0-r3.apk                      12-Apr-2025 03:08               10675
docker-auth-openrc-1.13.0-r3.apk                   12-Apr-2025 03:08                2115
docker-volume-local-persist-1.3.0-r32.apk          12-Apr-2025 03:08             2789100
docker-volume-local-persist-openrc-1.3.0-r32.apk   12-Apr-2025 03:08                1829
dockerize-0.9.3-r0.apk                             26-Apr-2025 20:01             3704676
dodo-0_git20250313-r0.apk                          24-Apr-2025 08:41              191388
dodo-pyc-0_git20250313-r0.apk                      24-Apr-2025 08:41               89021
dooit-3.1.0-r0.apk                                 07-Dec-2024 20:23               45698
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:23               13041
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:23               23339
dooit-pyc-3.1.0-r0.apk                             07-Dec-2024 20:23              102065
dotenv-linter-3.3.0-r1.apk                         25-Oct-2024 20:11              984472
downloader-cli-0.3.4-r1.apk                        25-Oct-2024 20:11                2063
draco-1.5.7-r2.apk                                 17-Feb-2025 09:34              914305
draco-dev-1.5.7-r2.apk                             17-Feb-2025 09:34              209622
draco-static-1.5.7-r2.apk                          17-Feb-2025 09:34             1497614
draco-tools-1.5.7-r2.apk                           17-Feb-2025 09:34             1341711
draw-0.1.1-r12.apk                                 12-Apr-2025 03:08             1037476
drawing-1.0.2-r0.apk                               25-Oct-2024 20:11             3329477
drawing-lang-1.0.2-r0.apk                          25-Oct-2024 20:11              278505
drogon-1.9.4-r1.apk                                25-Oct-2024 20:11             1698330
drogon-dev-1.9.4-r1.apk                            25-Oct-2024 20:11              127590
drogon-doc-1.9.4-r1.apk                            25-Oct-2024 20:11                2308
droidcam-2.1.3-r1.apk                              08-Nov-2024 21:25               18796
droidcam-gui-2.1.3-r1.apk                          08-Nov-2024 21:25               28566
drone-cli-1.8.0-r9.apk                             12-Apr-2025 03:08             6171304
dropwatch-1.5.4-r7.apk                             10-Feb-2025 23:18               16358
dropwatch-doc-1.5.4-r7.apk                         10-Feb-2025 23:18                3731
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 20:11              422783
drupal7-7.103-r0.apk                               04-Dec-2024 17:27             3443841
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:27               58840
dsnet-0.7.3-r10.apk                                12-Apr-2025 03:08             4343802
dsnet-doc-0.7.3-r10.apk                            12-Apr-2025 03:08                9430
dsp-1.9-r2.apk                                     25-Oct-2024 20:11              100763
dsp-doc-1.9-r2.apk                                 25-Oct-2024 20:11                7325
dstask-0.26-r13.apk                                12-Apr-2025 03:08             1539719
dstask-bash-completion-0.26-r13.apk                12-Apr-2025 03:08                2165
dstask-import-0.26-r13.apk                         12-Apr-2025 03:08             3754068
dstask-zsh-completion-0.26-r13.apk                 12-Apr-2025 03:08                1707
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 20:11               51418
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 20:11                2929
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 20:11                7056
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 20:11                2360
duc-1.4.5-r0.apk                                   25-Oct-2024 20:11               89431
duc-doc-1.4.5-r0.apk                               25-Oct-2024 20:11                9301
duf-0.8.1-r25.apk                                  12-Apr-2025 03:08             1140881
dufs-0.43.0-r0.apk                                 23-Jan-2025 19:05             1484550
dufs-bash-completion-0.43.0-r0.apk                 23-Jan-2025 19:05                2319
dufs-doc-0.43.0-r0.apk                             23-Jan-2025 19:05               10705
dufs-fish-completion-0.43.0-r0.apk                 23-Jan-2025 19:05                2406
dufs-zsh-completion-0.43.0-r0.apk                  23-Jan-2025 19:05                2719
dulcepan-1.0.2-r0.apk                              25-Oct-2024 20:11               21325
dum-0.1.20-r1.apk                                  29-Mar-2025 15:58              366150
dune-deps-1.3.0-r2.apk                             25-Oct-2024 20:11              764714
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 20:11             5449810
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 20:11               17432
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 20:11                7765
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 20:11                1463
dwl-0.7-r0.apk                                     25-Oct-2024 20:11               29509
dwl-doc-0.7-r0.apk                                 25-Oct-2024 20:11                3199
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22              800520
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22               27904
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22              388741
eatmemory-0.1.6-r2.apk                             25-Oct-2024 20:11                4397
eboard-1.1.3-r1.apk                                25-Oct-2024 20:11             1524203
eboard-doc-1.1.3-r1.apk                            25-Oct-2024 20:11                4775
ecasound-2.9.3-r4.apk                              24-Feb-2025 21:15              746811
ecasound-dev-2.9.3-r4.apk                          24-Feb-2025 21:15             1115832
ecasound-doc-2.9.3-r4.apk                          24-Feb-2025 21:15               39373
ecm-7.0.5-r1.apk                                   25-Oct-2024 20:11              138181
ecm-dev-7.0.5-r1.apk                               25-Oct-2024 20:11                4986
ecm-doc-7.0.5-r1.apk                               25-Oct-2024 20:11                7365
ecos-2.0.10-r0.apk                                 25-Oct-2024 20:11               42424
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 20:11               28589
edward-1.1.0-r0.apk                                25-Oct-2024 20:11             1837965
edward-doc-1.1.0-r0.apk                            25-Oct-2024 20:11                5399
efibootguard-0.16-r0.apk                           25-Oct-2024 20:11              107238
efibootguard-bash-completion-0.16-r0.apk           25-Oct-2024 20:11                3693
efibootguard-dev-0.16-r0.apk                       25-Oct-2024 20:11               22400
efibootguard-zsh-completion-0.16-r0.apk            25-Oct-2024 20:11                2987
efl-1.28.1-r2.apk                                  23-Mar-2025 15:03            35462412
efl-dev-1.28.1-r2.apk                              23-Mar-2025 15:03             1908936
efl-gdb-1.28.1-r2.apk                              23-Mar-2025 15:03                1733
eiwd-3.6-r0.apk                                    18-Apr-2025 16:20              926666
eiwd-doc-3.6-r0.apk                                18-Apr-2025 16:20               20819
eiwd-openrc-3.6-r0.apk                             18-Apr-2025 16:20                1926
elementary-calculator-8.0.0-r0.apk                 28-Oct-2024 22:05               73095
elementary-calculator-lang-8.0.0-r0.apk            28-Oct-2024 22:05               58675
elementary-camera-8.0.0-r0.apk                     27-Oct-2024 14:19               88479
elementary-camera-lang-8.0.0-r0.apk                27-Oct-2024 14:19               34396
elementary-feedback-8.0.0-r0.apk                   27-Oct-2024 14:17               45443
elementary-feedback-lang-8.0.0-r0.apk              27-Oct-2024 14:17               44515
elementary-icon-theme-8.0.0-r0.apk                 25-Oct-2024 20:11             5292697
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:06               77191
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:06               48625
elementary-photos-8.0.1-r0.apk                     02-Dec-2024 22:59             1227759
elementary-photos-lang-8.0.1-r0.apk                02-Dec-2024 22:59             1052066
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:08               85069
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:36             1571156
elementary-videos-8.0.1-r0.apk                     10-Nov-2024 22:07              116520
elementary-videos-lang-8.0.1-r0.apk                10-Nov-2024 22:07               87522
elf_diff-0.7.1-r3.apk                              25-Oct-2024 20:11              110878
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 20:11              110554
elfio-3.12-r0.apk                                  25-Oct-2024 20:11                1455
elfio-dev-3.12-r0.apk                              25-Oct-2024 20:11               56320
eludris-0.3.3-r1.apk                               25-Oct-2024 20:11             1950964
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 20:11                2344
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 20:11               23327
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 20:11               44483
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 21:27                3917
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 20:11               56397
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 20:11               14717
emacs-cndict-0_git20220514-r0.apk                  26-Apr-2025 10:19            37919106
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 20:11              141065
emacs-derl-0_git20231004-r0.apk                    25-Oct-2024 20:11               24046
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 21:27               92728
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 20:11               23140
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 20:11                6208
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 20:11                5993
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 20:11               18123
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:11                4394
emacs-embark-1.1-r0.apk                            23-Apr-2025 21:27              113558
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 21:27               10660
emacs-ement-0.16-r0.apk                            23-Apr-2025 21:27              297714
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 20:11               37704
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 20:11               14979
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 20:11               63635
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 20:11               15901
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 20:11              834736
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 20:11               10009
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 20:11               47068
emacs-llama-0.3.1_git20240722-r0.apk               25-Oct-2024 20:11               10168
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 12:21              438155
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 12:21                2326
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 20:11                6793
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 20:11               29614
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 20:11               17807
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 20:11               19453
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 21:27               11739
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 21:27               18112
empede-0.2.3-r0.apk                                25-Oct-2024 20:11             1710044
empede-doc-0.2.3-r0.apk                            25-Oct-2024 20:11                2338
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 20:11                1968
emulationstation-2.11.2-r1.apk                     25-Oct-2024 20:11             1383089
emulationstation-theme-gbz35-2.11.2-r1.apk         25-Oct-2024 20:11             3413269
endeavour-43.0-r2.apk                              08-Dec-2024 21:39              199808
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:39               46678
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:39               69705
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:39              208019
endless-sky-0.10.2-r0.apk                          25-Oct-2024 20:11           254123559
endless-sky-doc-0.10.2-r0.apk                      25-Oct-2024 20:11               37383
endlessh-1.1-r0.apk                                25-Oct-2024 20:11                9789
endlessh-doc-1.1-r0.apk                            25-Oct-2024 20:11                2456
enjoy-0.3-r1.apk                                   25-Oct-2024 20:11               11241
enlighten-0.9.2-r1.apk                             25-Oct-2024 20:11                7496
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 20:11                3594
envconsul-0.13.3-r2.apk                            12-Apr-2025 03:08             5292870
envsubst-0.1-r1.apk                                25-Oct-2024 20:11                5049
epoch-1.3.0-r2.apk                                 25-Oct-2024 20:11               55482
epr-2.4.15-r1.apk                                  25-Oct-2024 20:11               16079
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 20:11               25008
ergo-ldap-0.0.1-r16.apk                            12-Apr-2025 03:08             2427763
ergo-ldap-doc-0.0.1-r16.apk                        12-Apr-2025 03:08                2343
errands-46.2.7-r0.apk                              31-Jan-2025 14:30               86843
errands-lang-46.2.7-r0.apk                         31-Jan-2025 14:30               72850
espeakup-0.90-r2.apk                               25-Oct-2024 20:11               11659
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 20:11                1856
esptool-4.8.1-r0.apk                               25-Oct-2024 20:11              434189
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 20:11              562524
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 20:11              574495
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 20:11               46207
eva-0.3.1-r2.apk                                   25-Oct-2024 20:11              644571
evolution-on-3.24.4-r0.apk                         30-Oct-2024 14:24               11099
exabgp-4.2.24-r0.apk                               15-Mar-2025 22:11              393867
exabgp-doc-4.2.24-r0.apk                           15-Mar-2025 22:11                8236
exabgp-openrc-4.2.24-r0.apk                        15-Mar-2025 22:11                2245
exabgp-pyc-4.2.24-r0.apk                           15-Mar-2025 22:11              796894
exercism-3.2.0-r11.apk                             12-Apr-2025 03:08             4467484
exercism-bash-completion-3.2.0-r11.apk             12-Apr-2025 03:08                2049
exercism-fish-completion-3.2.0-r11.apk             12-Apr-2025 03:08                2458
exercism-zsh-completion-3.2.0-r11.apk              12-Apr-2025 03:08                2203
extrace-0.9-r0.apk                                 25-Oct-2024 20:11                9754
extrace-doc-0.9-r0.apk                             25-Oct-2024 20:11                3600
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 20:11            41467045
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 20:11                6860
extundelete-0.2.4-r1.apk                           25-Oct-2024 20:11               45119
f_scripts-0.6-r1.apk                               25-Oct-2024 20:11                1483
f_scripts-f_audio-0.6-r1.apk                       25-Oct-2024 20:11                3415
f_scripts-f_files-0.6-r1.apk                       25-Oct-2024 20:11                2993
f_scripts-f_game-0.6-r1.apk                        25-Oct-2024 20:11                1948
f_scripts-f_maps-0.6-r1.apk                        25-Oct-2024 20:11                2166
f_scripts-f_networks-0.6-r1.apk                    25-Oct-2024 20:11                3072
f_scripts-f_phone-0.6-r1.apk                       25-Oct-2024 20:11                6139
f_scripts-f_rss-0.6-r1.apk                         25-Oct-2024 20:11                2594
f_scripts-f_theme-0.6-r1.apk                       25-Oct-2024 20:11                2628
f_scripts-f_timer-0.6-r1.apk                       25-Oct-2024 20:11                2439
f_scripts-f_web-0.6-r1.apk                         25-Oct-2024 20:11                2961
f_scripts-f_youtube-0.6-r1.apk                     25-Oct-2024 20:11                2871
fabric-3.2.2-r1.apk                                25-Oct-2024 20:11               55947
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 20:11               61592
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 20:11               30234
fastd-23-r0.apk                                    27-Jan-2025 21:33               77665
fastd-doc-23-r0.apk                                27-Jan-2025 21:33                3356
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33                1741
fatback-1.3-r2.apk                                 25-Oct-2024 20:11               30912
fatback-doc-1.3-r2.apk                             25-Oct-2024 20:11               16470
fathom-1.3.1-r12.apk                               12-Apr-2025 03:09             5255469
fatrace-0.17.0-r0.apk                              25-Oct-2024 20:11               10412
fatrace-doc-0.17.0-r0.apk                          25-Oct-2024 20:11                3356
fatresize-1.1.0-r1.apk                             25-Oct-2024 20:11                9767
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 20:11               15562
faultstat-0.01.11-r0.apk                           25-Oct-2024 20:11               14157
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 20:11                2352
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 20:11                3106
faust-2.60.3-r2.apk                                25-Oct-2024 20:11             9043981
faust-dev-2.60.3-r2.apk                            25-Oct-2024 20:11              789013
faust-doc-2.60.3-r2.apk                            25-Oct-2024 20:11            17500813
faust-static-2.60.3-r2.apk                         25-Oct-2024 20:11              540238
faust-tools-2.60.3-r2.apk                          25-Oct-2024 20:11              121749
faust-vim-2.60.3-r2.apk                            25-Oct-2024 20:11                2688
fava-1.28-r0.apk                                   25-Oct-2024 20:11             1125062
fava-pyc-1.28-r0.apk                               25-Oct-2024 20:11              168040
fbcur-1.0.1-r1.apk                                 25-Oct-2024 20:11                4812
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 20:11                2219
fceux-2.6.6-r2.apk                                 25-Oct-2024 20:11             3100650
fceux-doc-2.6.6-r2.apk                             25-Oct-2024 20:11              108368
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 20:11               61101
featherpad-1.5.1-r0.apk                            25-Oct-2024 20:11              785233
featherpad-lang-1.5.1-r0.apk                       25-Oct-2024 20:11              473864
felix-2.16.0-r1.apk                                13-Mar-2025 23:23              667876
ff2mpv-rust-1.1.6-r0.apk                           27-Mar-2025 06:47              227540
ff2mpv-rust-doc-1.1.6-r0.apk                       27-Mar-2025 06:47               13834
fff-2.2-r0.apk                                     25-Oct-2024 20:11               10991
fff-doc-2.2-r0.apk                                 25-Oct-2024 20:11                9199
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 20:11              353643
ffms2-5.0-r0.apk                                   25-Oct-2024 20:11               80832
ffms2-dev-5.0-r0.apk                               25-Oct-2024 20:11                7787
ffms2-doc-5.0-r0.apk                               25-Oct-2024 20:11               31002
ffsend-0.2.76-r4.apk                               25-Oct-2024 20:11             1607207
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 20:11                3700
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 20:11                3661
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 20:11                4676
fheroes2-1.1.7-r0.apk                              27-Mar-2025 06:29             1823637
fheroes2-lang-1.1.7-r0.apk                         27-Mar-2025 06:29             1768122
fiery-2.0.0-r0.apk                                 25-Oct-2024 20:11              290726
fiery-lang-2.0.0-r0.apk                            25-Oct-2024 20:11               54892
fildesh-0.2.0-r0.apk                               25-Oct-2024 20:11               67780
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 20:11                2149
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 20:11                3629
filebrowser-2.27.0-r10.apk                         12-Apr-2025 03:09             8046026
filebrowser-openrc-2.27.0-r10.apk                  12-Apr-2025 03:09                1869
fileshelter-6.2.0-r3.apk                           25-Feb-2025 06:36              349514
fileshelter-openrc-6.2.0-r3.apk                    25-Feb-2025 06:36                1679
filite-0.3.0-r2.apk                                25-Oct-2024 20:11             1177245
findtow-0.1-r0.apk                                 25-Oct-2024 20:11                5056
finger-0.5-r0.apk                                  25-Oct-2024 20:11                6965
finger-doc-0.5-r0.apk                              25-Oct-2024 20:11                3890
firehol-3.1.7-r2.apk                               25-Oct-2024 20:11               86789
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 20:11              690789
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 20:11                2100
flann-1.9.2-r1.apk                                 17-Feb-2025 09:34             1800615
flann-dev-1.9.2-r1.apk                             17-Feb-2025 09:34              974264
flann-doc-1.9.2-r1.apk                             17-Feb-2025 09:34                2592
flare-engine-1.14-r0.apk                           25-Oct-2024 20:11             4787525
flare-engine-doc-1.14-r0.apk                       25-Oct-2024 20:11                2526
flare-game-1.14-r0.apk                             25-Oct-2024 20:11                2240
flatpak-xdg-utils-1.0.6-r0.apk                     25-Oct-2024 20:11               19855
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 20:11                4346
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06             1287765
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                2169
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06                6136
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06                1958
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06                2280
flint-2.9.0-r3.apk                                 25-Mar-2025 15:46             5442516
flint-dev-2.9.0-r3.apk                             25-Mar-2025 15:46              312931
flintqs-1.0-r1.apk                                 25-Oct-2024 20:11               22894
flowd-0.9.1-r10.apk                                25-Oct-2024 20:11               80517
flowd-dev-0.9.1-r10.apk                            25-Oct-2024 20:11                8498
flowd-doc-0.9.1-r10.apk                            25-Oct-2024 20:11               10342
flowd-openrc-0.9.1-r10.apk                         25-Oct-2024 20:11                1917
fluent-bit-3.1.10-r1.apk                           17-Feb-2025 09:34             5951034
fluent-bit-dev-3.1.10-r1.apk                       17-Feb-2025 09:34              117503
fluent-bit-openrc-3.1.10-r1.apk                    17-Feb-2025 09:34                1688
fnf-0.1-r0.apk                                     25-Oct-2024 20:11               18519
fnf-doc-0.1-r0.apk                                 25-Oct-2024 20:11                4703
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 20:11              336550
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 20:11                8675
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 20:11              270822
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 12:25              365882
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 20:11            19204368
font-cascadia-2407.24-r0.apk                       13-Apr-2025 21:56                1291
font-cascadia-code-2407.24-r0.apk                  13-Apr-2025 21:56              538797
font-cascadia-mono-2407.24-r0.apk                  13-Apr-2025 21:56              519014
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 20:11              811369
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 20:11              640886
font-comic-neue-2.51-r0.apk                        25-Oct-2024 20:11              254743
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 20:11             1028161
font-commit-mono-1.143-r0.apk                      25-Oct-2024 20:11              257310
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 20:11              112627
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 20:11                1259
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 20:11                5674
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 20:11              323354
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:11              323364
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 20:11              323357
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 20:11              323353
font-fira-4.202-r0.apk                             25-Oct-2024 20:11                1235
font-fira-code-6.2-r0.apk                          25-Oct-2024 20:11              856069
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 20:11              148542
font-fira-otf-4.202-r0.apk                         25-Oct-2024 20:11             7737326
font-fira-ttf-4.202-r0.apk                         25-Oct-2024 20:11             6496541
font-firamath-0.3.4-r0.apk                         25-Oct-2024 20:11              121167
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 20:11              209928
font-hanazono-20170904-r1.apk                      25-Oct-2024 20:11            30352549
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 20:11              288205
font-katex-0.16.2-r0.apk                           25-Oct-2024 20:11              872000
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 20:11              667498
font-monaspace-1.101-r0.apk                        25-Oct-2024 20:11                1490
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 20:11             2315696
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 20:11             2169082
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 20:11             2239075
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 20:11             2878218
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 20:11             2452472
font-monocraft-4.0-r0.apk                          25-Oct-2024 20:11              692991
font-openmoji-15.0.0-r0.apk                        24-Dec-2024 22:52             3721302
font-siji-20190218_git-r2.apk                      25-Oct-2024 20:11               25083
font-stix-otf-2.13-r0.apk                          25-Oct-2024 20:11             2139969
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 20:11              440380
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 20:11               63350
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 20:11              203451
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 20:11              581903
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 20:11               59543
foolsm-1.0.21-r0.apk                               25-Oct-2024 20:11               35055
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 20:11                3945
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 20:11                1586
fpc-3.2.2-r4.apk                                   25-Oct-2024 20:11            73166299
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 20:11             1301734
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 20:11             6495313
fplll-5.5.0-r0.apk                                 18-Nov-2024 18:00               54325
fplll-dev-5.5.0-r0.apk                             18-Nov-2024 18:00               79837
fplll-libs-5.5.0-r0.apk                            18-Nov-2024 18:00             6083005
fplll-static-5.5.0-r0.apk                          18-Nov-2024 18:00             6818449
fplll-strategies-5.5.0-r0.apk                      18-Nov-2024 18:00             1792044
fpp-0.9.5-r0.apk                                   25-Oct-2024 20:11               29974
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 20:11                5729
fq-0.13.0-r4.apk                                   12-Apr-2025 03:09             4875019
freealut-1.1.0-r1.apk                              25-Oct-2024 20:11               19727
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 20:11               25366
freecad-1.0.0-r2.apk                               17-Feb-2025 09:34           112343220
freecad-dev-1.0.0-r2.apk                           17-Feb-2025 09:34              723147
freecad-doc-1.0.0-r2.apk                           17-Feb-2025 09:34               13194
freediameter-1.5.0-r1.apk                          25-Oct-2024 20:11                9239
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 20:11               55076
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 20:11              330705
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 20:11              162571
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 20:11               89147
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 20:11             3645970
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 20:11                2540
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 20:11             1253337
freshrss-1.23.1-r1.apk                             25-Oct-2024 20:11             1594244
freshrss-doc-1.23.1-r1.apk                         25-Oct-2024 20:11              769278
freshrss-lang-1.23.1-r1.apk                        25-Oct-2024 20:11              388353
freshrss-mysql-1.23.1-r1.apk                       25-Oct-2024 20:11                1494
freshrss-openrc-1.23.1-r1.apk                      25-Oct-2024 20:11                2585
freshrss-pgsql-1.23.1-r1.apk                       25-Oct-2024 20:11                1496
freshrss-sqlite-1.23.1-r1.apk                      25-Oct-2024 20:11                1498
freshrss-themes-1.23.1-r1.apk                      25-Oct-2024 20:11             1576000
fulcrum-1.9.8-r1.apk                               25-Oct-2024 20:11              989775
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 20:11                8103
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 20:11               22134
fungw-1.2.1-r0.apk                                 30-Dec-2024 09:48               13594
fungw-c-1.2.1-r0.apk                               30-Dec-2024 09:48                8389
fungw-cli-1.2.1-r0.apk                             30-Dec-2024 09:48               23004
fungw-dev-1.2.1-r0.apk                             30-Dec-2024 09:48                7750
fungw-doc-1.2.1-r0.apk                             30-Dec-2024 09:48               13202
fungw-duktape-1.2.1-r0.apk                         30-Dec-2024 09:48               17352
fungw-fawk-1.2.1-r0.apk                            30-Dec-2024 09:48              114726
fungw-lua-1.2.1-r0.apk                             30-Dec-2024 09:48               15025
fungw-mujs-1.2.1-r0.apk                            30-Dec-2024 09:48               16922
fungw-perl-1.2.1-r0.apk                            30-Dec-2024 09:48               47128
fungw-python3-1.2.1-r0.apk                         30-Dec-2024 09:48               26559
fungw-tcl-1.2.1-r0.apk                             30-Dec-2024 09:48               13467
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 20:11               21310
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 20:11                1746
fuseiso-20070708-r0.apk                            14-Apr-2025 01:10               17411
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 01:10                2649
fusesoc-2.3-r0.apk                                 25-Oct-2024 20:11               47416
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 20:11               91404
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 20:11             5837813
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 20:11             1138486
fyi-1.0.4-r0.apk                                   25-Oct-2024 20:11               10149
fyi-bash-completion-1.0.4-r0.apk                   25-Oct-2024 20:11                1817
fyi-doc-1.0.4-r0.apk                               25-Oct-2024 20:11                7180
fyi-fish-completion-1.0.4-r0.apk                   25-Oct-2024 20:11                2233
fzy-1.0-r3.apk                                     25-Oct-2024 20:11               14822
fzy-doc-1.0-r3.apk                                 25-Oct-2024 20:11                2794
gambit-4.9.5-r1.apk                                07-Apr-2025 07:48            10324870
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 07:48             6877220
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 07:48                4439
game-devices-udev-0.23-r0.apk                      12-Nov-2024 10:57                6312
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:26               69658
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:26                5226
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:26                7724
gamja-1.0.0_beta9-r0.apk                           25-Oct-2024 20:11              613581
gamja-doc-1.0.0_beta9-r0.apk                       25-Oct-2024 20:11                2296
gammastep-2.0.9-r3.apk                             25-Oct-2024 20:11               92295
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 20:11               14400
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 20:11               79396
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 20:11               17281
gatling-0.16-r6.apk                                25-Oct-2024 20:11              161707
gatling-doc-0.16-r6.apk                            25-Oct-2024 20:11                9294
gatling-openrc-0.16-r6.apk                         25-Oct-2024 20:11                2852
gaupol-1.12-r2.apk                                 25-Oct-2024 20:11              282799
gaupol-doc-1.12-r2.apk                             25-Oct-2024 20:11                2425
gaupol-lang-1.12-r2.apk                            25-Oct-2024 20:11              283326
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 20:11              429303
gb-0.4.4-r30.apk                                   12-Apr-2025 03:09             7750407
gcli-2.6.1-r0.apk                                  26-Jan-2025 17:29              125000
gcli-doc-2.6.1-r0.apk                              26-Jan-2025 17:29               28919
gdb-dashboard-0.17.4-r0.apk                        01-Mar-2025 21:38               23254
gdb-dashboard-doc-0.17.4-r0.apk                    01-Mar-2025 21:38                3100
gdcm-3.0.24-r0.apk                                 25-Oct-2024 20:11              408246
gdcm-dev-3.0.24-r0.apk                             25-Oct-2024 20:11              473873
gdcm-doc-3.0.24-r0.apk                             25-Oct-2024 20:11               56770
gdcm-doc-html-3.0.24-r0.apk                        25-Oct-2024 20:11             9085492
gdcm-doc-pdf-3.0.24-r0.apk                         25-Oct-2024 20:11            14287329
gearman-dev-1.1.21-r1.apk                          25-Oct-2024 20:11             1091095
gearman-libs-1.1.21-r1.apk                         25-Oct-2024 20:11               89096
gearmand-1.1.21-r1.apk                             25-Oct-2024 20:11              201583
gearmand-doc-1.1.21-r1.apk                         25-Oct-2024 20:11              194269
gearmand-openrc-1.1.21-r1.apk                      25-Oct-2024 20:11                1881
gede-2.18.2-r1.apk                                 25-Oct-2024 20:11              297308
genact-1.4.2-r0.apk                                25-Oct-2024 20:11             1402938
geoclue-stumbler-0.2.0-r0.apk                      31-Dec-2024 12:56               28813
geodns-3.3.0-r12.apk                               12-Apr-2025 03:09             5302093
geodns-logs-3.3.0-r12.apk                          12-Apr-2025 03:09             4842598
geodns-openrc-3.3.0-r12.apk                        12-Apr-2025 03:09                1826
geomyidae-0.34-r2.apk                              25-Oct-2024 20:11               15932
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 20:11                7836
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 20:11                2037
geonames-0.3.1-r2.apk                              25-Oct-2024 20:11              847018
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 20:11                3086
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 20:11               12903
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 20:11             4801103
getmail6-6.19.07-r0.apk                            26-Jan-2025 15:48               72681
getmail6-doc-6.19.07-r0.apk                        26-Jan-2025 15:48              140777
getmail6-pyc-6.19.07-r0.apk                        26-Jan-2025 15:48              107327
getssl-2.48-r0.apk                                 25-Oct-2024 20:11               84164
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:39              732081
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:39              509332
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:39              234203
gf2x-1.3.0-r1.apk                                  25-Oct-2024 20:11               42797
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 20:11               65382
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 20:11               39542
ghostcloud-0.9.9.5-r2.apk                          25-Oct-2024 20:11              476404
ghq-1.7.1-r4.apk                                   12-Apr-2025 03:09             4077516
ghq-bash-completion-1.7.1-r4.apk                   12-Apr-2025 03:09                1838
ghq-doc-1.7.1-r4.apk                               12-Apr-2025 03:09                5563
ghq-fish-completion-1.7.1-r4.apk                   12-Apr-2025 03:09                2539
ghq-zsh-completion-1.7.1-r4.apk                    12-Apr-2025 03:09                2523
gimp-plugin-gmic-3.3.5-r1.apk                      21-Nov-2024 22:55             1487949
ginac-1.8.8-r0.apk                                 19-Mar-2025 11:45             1255062
ginac-dev-1.8.8-r0.apk                             19-Mar-2025 11:45               69728
ginac-doc-1.8.8-r0.apk                             19-Mar-2025 11:45               99783
ginger-2.4.0-r7.apk                                25-Oct-2024 20:11              263235
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 20:11              128453
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 20:11              211803
gingerbase-2.3.0-r7.apk                            25-Oct-2024 20:11              199912
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 20:11               54132
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 20:11               62951
git-bug-0.8.0-r18.apk                              12-Apr-2025 03:09            10106551
git-bug-bash-completion-0.8.0-r18.apk              12-Apr-2025 03:09                5315
git-bug-doc-0.8.0-r18.apk                          12-Apr-2025 03:09               17045
git-bug-fish-completion-0.8.0-r18.apk              12-Apr-2025 03:09                4029
git-bug-zsh-completion-0.8.0-r18.apk               12-Apr-2025 03:09                4108
git-cola-4.12.0-r0.apk                             23-Feb-2025 20:02              882461
git-cola-doc-4.12.0-r0.apk                         23-Feb-2025 20:02                5929
git-cola-pyc-4.12.0-r0.apk                         23-Feb-2025 20:02              792595
git-extras-7.3.0-r0.apk                            18-Nov-2024 18:00               56695
git-extras-bash-completion-7.3.0-r0.apk            18-Nov-2024 18:00                2890
git-extras-doc-7.3.0-r0.apk                        18-Nov-2024 18:00               64875
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38              897271
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38                6395
git-quick-stats-2.5.8-r0.apk                       25-Oct-2024 20:11               12680
git-quick-stats-doc-2.5.8-r0.apk                   25-Oct-2024 20:11                2946
git-revise-0.7.0-r5.apk                            25-Oct-2024 20:11               24885
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 20:11                5077
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 20:11               43204
git-secret-0.5.0-r0.apk                            25-Oct-2024 20:11               15108
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 20:11               17477
git2json-0.2.3-r8.apk                              25-Oct-2024 20:11                7601
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 20:11                5826
gitoxide-0.14.0-r1.apk                             25-Oct-2024 20:11             2902712
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36              354477
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36               16897
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36               18972
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36              388219
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36               52784
glfw-wayland-3.3.8-r3.apk                          25-Oct-2024 20:11               64951
glfw-wayland-dbg-3.3.8-r3.apk                      25-Oct-2024 20:11              183463
glfw-wayland-dev-3.3.8-r3.apk                      25-Oct-2024 20:11               46707
gliderlabs-sigil-0.11.0-r4.apk                     12-Apr-2025 03:09             3565674
gliderlabs-sigil-doc-0.11.0-r4.apk                 12-Apr-2025 03:09                2479
glmark2-2023.01-r1.apk                             25-Oct-2024 20:11             8453436
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 20:11               13113
gloox-1.0.28-r0.apk                                25-Oct-2024 20:11              420005
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 20:11              899344
glow-2.1.0-r2.apk                                  12-Apr-2025 03:09             6526284
glow-bash-completion-2.1.0-r2.apk                  12-Apr-2025 03:09                6253
glow-doc-2.1.0-r2.apk                              12-Apr-2025 03:09                3281
glow-fish-completion-2.1.0-r2.apk                  12-Apr-2025 03:09                4429
glow-zsh-completion-2.1.0-r2.apk                   12-Apr-2025 03:09                4148
glslviewer-3.2.4-r1.apk                            08-Feb-2025 23:44             2039632
gmcapsule-0.9.7-r0.apk                             08-Jan-2025 19:12               36899
gmcapsule-openrc-0.9.7-r0.apk                      08-Jan-2025 19:12                1973
gmcapsule-pyc-0.9.7-r0.apk                         08-Jan-2025 19:12               62084
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 09:34               41434
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 09:34                4162
gmic-3.3.5-r1.apk                                  21-Nov-2024 22:55            11854230
gmic-bash-completion-3.3.5-r1.apk                  21-Nov-2024 22:55               29086
gmic-dev-3.3.5-r1.apk                              21-Nov-2024 22:55                7866
gmic-doc-3.3.5-r1.apk                              21-Nov-2024 22:55              224075
gmic-libs-3.3.5-r1.apk                             21-Nov-2024 22:55             2175168
gmic-qt-3.3.5-r1.apk                               21-Nov-2024 22:55             1826490
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26              239330
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26               14789
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26                2248
gnome-common-3.18.0-r3.apk                         25-Oct-2024 20:11               11805
gnome-latex-3.48.0-r0.apk                          07-Apr-2025 21:29              376585
gnome-latex-doc-3.48.0-r0.apk                      07-Apr-2025 21:29              110600
gnome-latex-lang-3.48.0-r0.apk                     07-Apr-2025 21:29              542983
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 20:11              462108
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 20:11               25275
gnome-user-share-48.0-r0.apk                       19-Mar-2025 12:55              352392
gnome-user-share-lang-48.0-r0.apk                  19-Mar-2025 12:55               68445
gnu-apl-1.9-r0.apk                                 25-Oct-2024 20:11             1399978
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 20:11              573461
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 20:11             1632310
go-jsonnet-0.20.0-r13.apk                          12-Apr-2025 03:09             6727811
go-mtpfs-1.0.0-r26.apk                             12-Apr-2025 03:09             1244161
go-passbolt-cli-0.3.2-r2.apk                       12-Apr-2025 03:09             6671176
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 20:11             1996471
gobuster-3.6.0-r11.apk                             12-Apr-2025 03:09             3856641
godot-4.3-r5.apk                                   11-Apr-2025 18:13            49083048
godot-doc-4.3-r5.apk                               11-Apr-2025 18:13                4659
godot-templates-4.3-r5.apk                         11-Apr-2025 18:13            46218623
gomp-1.0.0-r11.apk                                 12-Apr-2025 03:09             3913833
goomwwm-1.0.0-r5.apk                               25-Oct-2024 20:11               46897
goreman-0.3.15-r12.apk                             12-Apr-2025 03:09             2709154
gortr-0.14.8-r12.apk                               12-Apr-2025 03:09            11455153
gortr-openrc-0.14.8-r12.apk                        12-Apr-2025 03:09                2008
goshs-1.0.3-r0.apk                                 20-Apr-2025 05:16             6300867
goshs-doc-1.0.3-r0.apk                             20-Apr-2025 05:16                2322
gost-2.12.0-r5.apk                                 12-Apr-2025 03:09             6104797
gost-doc-2.12.0-r5.apk                             12-Apr-2025 03:09                2307
gosu-1.17-r9.apk                                   12-Apr-2025 03:09             1189208
gotestsum-1.12.1-r2.apk                            12-Apr-2025 03:09             2587045
gotify-2.5.0-r5.apk                                12-Apr-2025 03:09            10687358
gotify-cli-2.3.2-r4.apk                            12-Apr-2025 03:09             4656126
gotify-openrc-2.5.0-r5.apk                         12-Apr-2025 03:09                2048
goxel-0.15.1-r0.apk                                25-Oct-2024 20:11             1865752
gpa-0.10.0-r2.apk                                  25-Oct-2024 20:11              258279
gpa-doc-0.10.0-r2.apk                              25-Oct-2024 20:11                2921
gpg-remailer-3.04.07-r1.apk                        25-Oct-2024 20:11               51592
gpg-remailer-doc-3.04.07-r1.apk                    25-Oct-2024 20:11                9710
gprbuild-22.0.0-r3.apk                             25-Oct-2024 20:11            13486562
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36               54740
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36               29081
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36              291800
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36               17807
granite7-7.5.0-r0.apk                              25-Oct-2024 20:11              127445
granite7-dev-7.5.0-r0.apk                          25-Oct-2024 20:11               44790
granite7-lang-7.5.0-r0.apk                         25-Oct-2024 20:11               53222
grcov-0.8.20-r0.apk                                11-Nov-2024 10:03             1965056
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 20:11               19986
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:11                2248
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 20:11                3359
grip-4.2.4-r0.apk                                  25-Oct-2024 20:11              397650
grip-doc-4.2.4-r0.apk                              25-Oct-2024 20:11                6327
grip-lang-4.2.4-r0.apk                             25-Oct-2024 20:11              147890
gron-0.7.1-r23.apk                                 12-Apr-2025 03:09             2790753
grpc-health-check-0.1.1-r3.apk                     25-Oct-2024 20:11             1043355
grpcui-1.4.3-r2.apk                                12-Apr-2025 03:09             9485165
grpcurl-1.9.3-r1.apk                               12-Apr-2025 03:09             9036962
gsettings-qt-1.0.0-r0.apk                          14-Mar-2025 06:19               31311
gsettings-qt-dev-1.0.0-r0.apk                      14-Mar-2025 06:19                3554
gsimplecal-2.5.1-r0.apk                            25-Oct-2024 20:11               17713
gsimplecal-doc-2.5.1-r0.apk                        25-Oct-2024 20:11                5943
gssdp-1.6.3-r0.apk                                 01-Dec-2024 12:58               48261
gssdp-dev-1.6.3-r0.apk                             01-Dec-2024 12:58               15826
gst-rtsp-server-1.24.12-r0.apk                     21-Feb-2025 17:10              252986
gst-rtsp-server-dev-1.24.12-r0.apk                 21-Feb-2025 17:10               95685
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 09:34              523377
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 09:34              317170
gtk-session-lock-0.2.0-r0.apk                      31-Jan-2025 16:16               38718
gtk-session-lock-dev-0.2.0-r0.apk                  31-Jan-2025 16:16                5406
gtkhash-1.5-r0.apk                                 25-Oct-2024 20:11               92433
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 20:11               47744
gtklock-4.0.0-r0.apk                               31-Jan-2025 16:16               20089
gtklock-doc-4.0.0-r0.apk                           31-Jan-2025 16:16                3043
gtkwave-3.3.120-r0.apk                             25-Oct-2024 20:11             2705904
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 20:11               27770
guake-3.10-r1.apk                                  25-Oct-2024 20:11              312650
guake-lang-3.10-r1.apk                             25-Oct-2024 20:11              192303
guake-pyc-3.10-r1.apk                              25-Oct-2024 20:11              190384
guestfs-tools-1.52.0-r1.apk                        25-Oct-2024 20:11              284974
guetzli-0_git20191025-r1.apk                       25-Oct-2024 20:11              141498
guetzli-dev-0_git20191025-r1.apk                   25-Oct-2024 20:11             2276742
gufw-24.04-r3.apk                                  19-Nov-2024 21:42              610796
gufw-doc-24.04-r3.apk                              19-Nov-2024 21:42                4593
gufw-lang-24.04-r3.apk                             19-Nov-2024 21:42              875099
gufw-pyc-24.04-r3.apk                              19-Nov-2024 21:42               66125
guish-2.6.11-r0.apk                                24-Dec-2024 10:42              105577
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42               62761
gupnp-1.6.8-r0.apk                                 20-Jan-2025 14:48               92481
gupnp-av-0.14.3-r0.apk                             15-Jan-2025 23:26               82047
gupnp-av-dev-0.14.3-r0.apk                         15-Jan-2025 23:26               41879
gupnp-dev-1.6.8-r0.apk                             20-Jan-2025 14:48               51535
gupnp-dlna-0.12.0-r0.apk                           01-Dec-2024 12:58               70350
gupnp-dlna-dev-0.12.0-r0.apk                       01-Dec-2024 12:58               24833
gupnp-doc-1.6.8-r0.apk                             20-Jan-2025 14:48                3839
gx-0.14.3-r29.apk                                  12-Apr-2025 03:09             5110951
gx-doc-0.14.3-r29.apk                              12-Apr-2025 03:09                2329
gx-go-1.9.0-r31.apk                                12-Apr-2025 03:09             5379026
gx-go-doc-1.9.0-r31.apk                            12-Apr-2025 03:09                2327
gxlimg-0_git20240711-r0.apk                        21-Jan-2025 19:44               21181
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 20:11              111255
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 20:11                8960
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 20:11                2784
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 20:11              105805
habitctl-0.1.0-r2.apk                              25-Oct-2024 20:11              340148
halp-0.2.0-r0.apk                                  25-Oct-2024 20:11              947678
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 20:11                2227
halp-doc-0.2.0-r0.apk                              25-Oct-2024 20:11                7043
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 20:11                2002
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 20:11                2461
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 20:11              159568
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 20:11                2019
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 20:11              118989
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 20:11              210636
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 20:11              366726
handlebars-1.0.0-r1.apk                            25-Oct-2024 20:11              109174
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 20:11               33163
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 20:11               10052
harminv-1.4.2-r1.apk                               25-Oct-2024 20:11                8147
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 20:11                3201
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 20:11                5799
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 20:11               15366
hashcat-6.2.6-r0.apk                               25-Oct-2024 20:11            69825826
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 20:11             2253581
hatch-1.14.1-r0.apk                                11-Apr-2025 18:13              104576
hatch-pyc-1.14.1-r0.apk                            11-Apr-2025 18:13              221003
hatop-0.8.2-r0.apk                                 25-Oct-2024 20:11               18386
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 20:11                3067
haxe-4.3.3-r1.apk                                  22-Mar-2025 14:35            10091820
haxe-doc-4.3.3-r1.apk                              22-Mar-2025 14:35                7937
hctl-0.2.6-r0.apk                                  25-Oct-2024 20:11             1287789
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 20:11               33623
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 20:11                2240
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 20:11               34846
hdf4-4.2.15-r2.apk                                 25-Oct-2024 20:11              246088
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 20:11              103291
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 20:11                6154
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 20:11              190386
heisenbridge-1.15.3-r0.apk                         20-Apr-2025 05:16               68838
heisenbridge-pyc-1.15.3-r0.apk                     20-Apr-2025 05:16              158679
helm-diff-3.9.13-r4.apk                            12-Apr-2025 03:09            23609975
helm-ls-0.0.12-r8.apk                              12-Apr-2025 03:09            12498630
helm-ls-doc-0.0.12-r8.apk                          12-Apr-2025 03:09                2310
helm-mapkubeapis-0.5.2-r4.apk                      12-Apr-2025 03:09            21895749
helm-unittest-0.7.1-r4.apk                         12-Apr-2025 03:09            12661571
helmfile-0.171.0-r1.apk                            12-Apr-2025 03:09            50795116
helmfile-bash-completion-0.171.0-r1.apk            12-Apr-2025 03:09                5257
helmfile-doc-0.171.0-r1.apk                        12-Apr-2025 03:09                2310
helmfile-fish-completion-0.171.0-r1.apk            12-Apr-2025 03:09                4438
helmfile-zsh-completion-0.171.0-r1.apk             12-Apr-2025 03:09                4146
herbe-1.0.0-r0.apk                                 25-Oct-2024 20:11                5781
hex-0.6.0-r0.apk                                   25-Oct-2024 20:11              305130
hexdiff-0.0.53-r2.apk                              25-Oct-2024 20:11               16424
hexdiff-doc-0.0.53-r2.apk                          25-Oct-2024 20:11                3817
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 20:11               19933
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 20:11                5728
hexer-1.4.0-r16.apk                                08-Nov-2024 00:09               62549
hexer-dev-1.4.0-r16.apk                            08-Nov-2024 00:09                7495
hfst-3.16.2-r0.apk                                 29-Mar-2025 15:58             1558358
hfst-dev-3.16.2-r0.apk                             29-Mar-2025 15:58              214000
hfst-doc-3.16.2-r0.apk                             29-Mar-2025 15:58               71335
hfst-libs-3.16.2-r0.apk                            29-Mar-2025 15:58             2187685
hiawatha-11.6-r0.apk                               25-Oct-2024 20:11              211208
hiawatha-doc-11.6-r0.apk                           25-Oct-2024 20:11               21592
hiawatha-letsencrypt-11.6-r0.apk                   25-Oct-2024 20:11               17991
hiawatha-openrc-11.6-r0.apk                        25-Oct-2024 20:11                1718
hickory-dns-0.25.1-r0.apk                          13-Apr-2025 23:14             2849500
hickory-dns-openrc-0.25.1-r0.apk                   13-Apr-2025 23:14                1915
hickory-dns-utils-0.25.1-r0.apk                    13-Apr-2025 23:14             6736926
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 20:11               75288
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 20:11              132550
highfive-2.10.1-r0.apk                             15-Jan-2025 02:52               77291
hikari-2.3.3-r6.apk                                25-Oct-2024 20:11              969434
hikari-doc-2.3.3-r6.apk                            25-Oct-2024 20:11               13905
hikari-unlocker-2.3.3-r6.apk                       25-Oct-2024 20:11                4188
hilbish-2.3.4-r4.apk                               12-Apr-2025 03:09             3735128
hilbish-doc-2.3.4-r4.apk                           12-Apr-2025 03:09               25537
hiprompt-gtk-py-0.8.0-r0.apk                       25-Oct-2024 20:11                8450
hitide-0.15.0-r0.apk                               25-Oct-2024 20:11             2038485
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11                2190
homebank-5.9-r0.apk                                11-Apr-2025 18:13             2132422
homebank-lang-5.9-r0.apk                           11-Apr-2025 18:13              965008
hopalong-0.1-r3.apk                                25-Oct-2024 20:11               26169
horizon-0.9.6-r9.apk                               25-Oct-2024 20:11              230158
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 20:11             4073969
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 20:11                4994
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 20:11               21964
horizon-image-0.9.6-r9.apk                         25-Oct-2024 20:11               74869
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 20:11               88456
horust-0.1.7-r2.apk                                25-Oct-2024 20:11             1076386
horust-doc-0.1.7-r2.apk                            25-Oct-2024 20:11                9388
howard-bc-7.0.3-r0.apk                             25-Oct-2024 20:11               71126
howard-bc-doc-7.0.3-r0.apk                         25-Oct-2024 20:11               39591
hping3-20051105-r4.apk                             25-Oct-2024 20:11               83620
hping3-doc-20051105-r4.apk                         25-Oct-2024 20:11               17085
hpnssh-18.6.2-r0.apk                               20-Mar-2025 11:57             2475866
hpnssh-doc-18.6.2-r0.apk                           20-Mar-2025 11:57              101533
hsetroot-1.0.5-r1.apk                              25-Oct-2024 20:11               11103
hstdb-2.1.0-r2.apk                                 25-Oct-2024 20:11              915348
htmlcxx-0.87-r1.apk                                25-Oct-2024 20:11               67221
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 20:11               21114
htslib-1.19-r0.apk                                 25-Oct-2024 20:11              407030
htslib-dev-1.19-r0.apk                             25-Oct-2024 20:11              118089
htslib-doc-1.19-r0.apk                             25-Oct-2024 20:11               23198
htslib-static-1.19-r0.apk                          25-Oct-2024 20:11              495764
htslib-tools-1.19-r0.apk                           25-Oct-2024 20:11             1341131
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 20:11                3444
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 20:11                2363
httplz-2.1.0-r0.apk                                19-Apr-2025 18:49             1378945
httplz-doc-2.1.0-r0.apk                            19-Apr-2025 18:49                2303
httpx-1.6.10-r3.apk                                12-Apr-2025 03:09            13546330
httpx-doc-1.6.10-r3.apk                            12-Apr-2025 03:09                2339
httrack-3.49.2-r5.apk                              25-Oct-2024 20:11              766501
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 20:11              540626
hub-2.14.2-r30.apk                                 12-Apr-2025 03:09             3000773
hub-bash-completion-2.14.2-r30.apk                 12-Apr-2025 03:09                4702
hub-doc-2.14.2-r30.apk                             12-Apr-2025 03:09               42958
hub-fish-completion-2.14.2-r30.apk                 12-Apr-2025 03:09                3383
hub-zsh-completion-2.14.2-r30.apk                  12-Apr-2025 03:09                3802
hubble-cli-0.13.6-r4.apk                           12-Apr-2025 03:09            19844188
hubble-cli-bash-completion-0.13.6-r4.apk           12-Apr-2025 03:09                5197
hubble-cli-fish-completion-0.13.6-r4.apk           12-Apr-2025 03:09                4438
hubble-cli-zsh-completion-0.13.6-r4.apk            12-Apr-2025 03:09                4153
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 20:11              748803
hurl-6.1.0-r0.apk                                  23-Mar-2025 12:44             1886029
hurl-bash-completion-6.1.0-r0.apk                  23-Mar-2025 12:44                2274
hurl-doc-6.1.0-r0.apk                              23-Mar-2025 12:44                8932
hurl-fish-completion-6.1.0-r0.apk                  23-Mar-2025 12:44                3529
hurl-zsh-completion-6.1.0-r0.apk                   23-Mar-2025 12:44                4024
hurlfmt-6.1.0-r0.apk                               23-Mar-2025 12:44              882903
hw-probe-1.6.6-r0.apk                              11-Feb-2025 09:30              126722
hwatch-0.3.11-r0.apk                               25-Oct-2024 20:11             1031627
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 20:11                3114
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 20:11                1862
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 20:11                1974
hx-1.0.15-r0.apk                                   25-Oct-2024 20:11               14947
hx-doc-1.0.15-r0.apk                               25-Oct-2024 20:11                4904
hy-1.0.0-r0.apk                                    25-Oct-2024 20:11               87355
hy-pyc-1.0.0-r0.apk                                25-Oct-2024 20:11              173164
hyfetch-1.99.0-r1.apk                              25-Oct-2024 20:11              443308
hyfetch-bash-completion-1.99.0-r1.apk              25-Oct-2024 20:11                3360
hyfetch-doc-1.99.0-r1.apk                          25-Oct-2024 20:11               16911
hyfetch-pyc-1.99.0-r1.apk                          25-Oct-2024 20:11              184318
hyfetch-zsh-completion-1.99.0-r1.apk               25-Oct-2024 20:11                2577
hypnotix-3.5-r0.apk                                25-Oct-2024 20:11              112696
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 20:11               74150
hyx-2024.02.29-r0.apk                              25-Oct-2024 20:11               17891
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 20:11                2293
i2util-4.2.1-r1.apk                                25-Oct-2024 20:11               17444
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 20:11               48474
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 20:11                4827
i3bar-river-1.1.0-r0.apk                           14-Mar-2025 14:05              550146
i3status-rust-0.33.2-r0.apk                        11-Nov-2024 03:20             4326207
i3status-rust-doc-0.33.2-r0.apk                    11-Nov-2024 03:20               34309
icesprog-0_git20240108-r1.apk                      25-Oct-2024 20:11                9149
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 20:11                1940
icestorm-0_git20240517-r0.apk                      25-Oct-2024 20:11            17320111
icingaweb2-module-pnp-1.1.0-r1.apk                 25-Oct-2024 20:11                9125
icingaweb2-module-pnp-doc-1.1.0-r1.apk             25-Oct-2024 20:11                1585
icmake-9.03.01-r0.apk                              25-Oct-2024 20:11              130104
icmake-doc-9.03.01-r0.apk                          25-Oct-2024 20:11              130465
identities-0.1.3-r0.apk                            07-Apr-2025 15:17               19895
identme-0.6.0-r0.apk                               03-Apr-2025 12:33               50305
idesk-1-r1.apk                                     25-Oct-2024 20:11               79213
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44               14990
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44                2511
idevicerestore-1.0.0-r4.apk                        30-Oct-2024 22:44               85784
idevicerestore-doc-1.0.0-r4.apk                    30-Oct-2024 22:44                2599
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44               11074
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44                2397
igrep-1.2.0-r0.apk                                 25-Oct-2024 20:11             1681287
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 20:11                4260
ijq-1.1.0-r7.apk                                   12-Apr-2025 03:09             1518432
ijq-doc-1.1.0-r7.apk                               12-Apr-2025 03:09                3604
imapfilter-2.8.2-r0.apk                            25-Oct-2024 20:11               45042
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 20:11               13143
imediff-2.6-r1.apk                                 25-Oct-2024 20:11               42581
imediff-doc-2.6-r1.apk                             25-Oct-2024 20:11                6686
imediff-pyc-2.6-r1.apk                             25-Oct-2024 20:11               44708
imgdiff-1.0.2-r25.apk                              12-Apr-2025 03:09             1056809
imgdiff-doc-1.0.2-r25.apk                          12-Apr-2025 03:09                2313
imrsh-0_git20210320-r1.apk                         25-Oct-2024 20:11                9651
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 20:11               18680
initify-0_git20171210-r1.apk                       25-Oct-2024 20:11                3362
innernet-1.6.1-r0.apk                              25-Oct-2024 20:11             2858449
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 20:11                3916
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 20:11                9252
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 20:11                4643
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 20:11                2355
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 20:11                5586
interception-tools-0.6.8-r2.apk                    25-Oct-2024 20:11              115536
interception-tools-openrc-0.6.8-r2.apk             25-Oct-2024 20:11                1728
invidtui-0.4.6-r4.apk                              12-Apr-2025 03:09             4355773
ip2location-8.6.1-r0.apk                           25-Oct-2024 20:11               26004
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 20:11               12412
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 20:11                2778
iprange-1.0.4-r1.apk                               25-Oct-2024 20:11               21207
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 20:11                4643
irccd-4.0.3-r0.apk                                 25-Oct-2024 20:11              274508
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 20:11                9847
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 20:11               82303
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 20:11                1864
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:01              348342
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:01                3697
ircdog-0.5.4-r4.apk                                12-Apr-2025 03:09             2661485
irctk-1.1.0-r0.apk                                 25-Oct-2024 20:11               30000
irctk-doc-1.1.0-r0.apk                             25-Oct-2024 20:11               16696
irctk-transport-fossil-1.1.0-r0.apk                25-Oct-2024 20:11               15571
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 20:11                6961
isomd5sum-1.2.3-r3.apk                             25-Oct-2024 20:11               24089
isomd5sum-doc-1.2.3-r3.apk                         25-Oct-2024 20:11                3047
it87-src-1_p20240609-r0.apk                        25-Oct-2024 20:11               30405
itd-1.1.0-r12.apk                                  12-Apr-2025 03:09             9855737
iwasm-2.2.0-r0.apk                                 05-Dec-2024 15:55                1610
iwasm-gc-2.2.0-r0.apk                              05-Dec-2024 15:55              218088
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 20:11               34670
jackal-0.64.0-r14.apk                              12-Apr-2025 03:09            13100032
jackal-openrc-0.64.0-r14.apk                       12-Apr-2025 03:09                1884
jackdaw-0.3.1-r1.apk                               25-Oct-2024 20:11             2081391
jackdaw-pyc-0.3.1-r1.apk                           25-Oct-2024 20:11              379190
jackline-0.1.0-r3.apk                              25-Oct-2024 20:11             3856272
jacktrip-2.5.1-r0.apk                              17-Apr-2025 23:03             1034868
jacktrip-doc-2.5.1-r0.apk                          17-Apr-2025 23:03                6530
jalv-1.6.8-r1.apk                                  25-Oct-2024 20:11               53384
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 20:11                3256
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 20:11               35456
jami-qt-20230925-r0.apk                            25-Oct-2024 20:11            14362413
jami-qt-doc-20230925-r0.apk                        25-Oct-2024 20:11                2740
jami-qt-lang-20230925-r0.apk                       25-Oct-2024 20:11             2409689
jaq-2.1.0-r0.apk                                   05-Feb-2025 22:20              651221
jaq-doc-2.1.0-r0.apk                               05-Feb-2025 22:20                2229
java-gdcm-3.0.24-r0.apk                            25-Oct-2024 20:11              659869
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 20:11             4211619
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 20:11               11842
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 20:11              224127
jbigkit-2.1-r2.apk                                 25-Oct-2024 20:11               64376
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 20:11               30408
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 20:11                7514
jdebp-redo-1.4-r1.apk                              25-Oct-2024 20:11              106713
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 20:11               12411
jdupes-1.28.0-r0.apk                               25-Oct-2024 20:11               29961
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 20:11                9208
jedi-language-server-0.45.0-r0.apk                 22-Apr-2025 14:39               32478
jedi-language-server-pyc-0.45.0-r0.apk             22-Apr-2025 14:39               50920
jfrog-cli-2.45.0-r11.apk                           12-Apr-2025 03:09            10001029
jhead-3.08-r0.apk                                  25-Oct-2024 20:11               32838
jhead-doc-3.08-r0.apk                              25-Oct-2024 20:11                8091
jotdown-0.7.0-r0.apk                               11-Mar-2025 20:12              239753
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 20:11              584552
jsmn-1.1.0-r2.apk                                  25-Oct-2024 20:11                4837
json2tsv-1.2-r0.apk                                25-Oct-2024 20:11                7112
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 20:11                5369
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 20:11                1951
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 20:11                2388
jsonnet-bundler-0.6.0-r4.apk                       12-Apr-2025 03:09             3562849
jsonnet-language-server-0.15.0-r3.apk              12-Apr-2025 03:09             4565691
junit2html-31.0.2-r0.apk                           25-Oct-2024 20:11               17132
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 20:11               24186
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18              858042
k2-0_git20220807-r1.apk                            25-Oct-2024 20:11              100608
k3sup-0.13.6-r4.apk                                12-Apr-2025 03:09             2953997
k3sup-bash-completion-0.13.6-r4.apk                12-Apr-2025 03:09                5143
k3sup-fish-completion-0.13.6-r4.apk                12-Apr-2025 03:09                4378
k3sup-zsh-completion-0.13.6-r4.apk                 12-Apr-2025 03:09                4094
kabmat-2.7.0-r0.apk                                25-Oct-2024 20:11               62825
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 20:11                3616
kalker-2.2.1-r0.apk                                25-Oct-2024 20:11              676222
kannel-1.5.0-r11.apk                               25-Oct-2024 20:11             6374582
kannel-dev-1.5.0-r11.apk                           25-Oct-2024 20:11              909267
kannel-doc-1.5.0-r11.apk                           25-Oct-2024 20:11                6350
kapow-0.7.1-r12.apk                                12-Apr-2025 03:09             3922732
katana-1.1.2-r2.apk                                12-Apr-2025 03:09            12702097
katana-doc-1.1.2-r2.apk                            12-Apr-2025 03:09                2314
katarakt-0.2-r1.apk                                29-Jan-2025 19:46               93592
kbs2-0.7.2-r3.apk                                  25-Oct-2024 20:11             1091274
kbs2-bash-completion-0.7.2-r3.apk                  25-Oct-2024 20:11                3192
kbs2-fish-completion-0.7.2-r3.apk                  25-Oct-2024 20:11                3314
kbs2-zsh-completion-0.7.2-r3.apk                   25-Oct-2024 20:11                4094
kcbench-0.9.12-r0.apk                              25-Apr-2025 06:18               38057
kcbench-doc-0.9.12-r0.apk                          25-Apr-2025 06:18               20746
kdiskmark-3.1.4-r1.apk                             25-Oct-2024 20:11              175684
kdiskmark-lang-3.1.4-r1.apk                        25-Oct-2024 20:11               27328
keepassxc-browser-1.8.9-r0.apk                     25-Oct-2024 20:11              897489
kerberoast-0.2.0-r1.apk                            25-Oct-2024 20:11                9850
kerberoast-pyc-0.2.0-r1.apk                        25-Oct-2024 20:11               15572
kew-3.1.2-r0.apk                                   27-Mar-2025 06:33              389946
kew-doc-3.1.2-r0.apk                               27-Mar-2025 06:33                3505
keybase-client-6.2.8-r9.apk                        12-Apr-2025 03:09            19709505
keystone-0.9.2-r6.apk                              25-Oct-2024 20:11             1458996
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 20:11                7477
keystone-python-0.9.2-r6.apk                       25-Oct-2024 20:11             1770707
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 20:11                9906
kfc-0.1.4-r0.apk                                   25-Oct-2024 20:11               58904
kgraphviewer-2.5.0-r0.apk                          25-Oct-2024 20:11             1400770
kgraphviewer-dev-2.5.0-r0.apk                      25-Oct-2024 20:11                6440
kgraphviewer-lang-2.5.0-r0.apk                     25-Oct-2024 20:11              235961
khinsider-2.0.7-r19.apk                            12-Apr-2025 03:09             3787022
khronos-4.0.1-r0.apk                               25-Oct-2024 20:11               56922
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 20:11               26346
kimchi-3.0.0-r8.apk                                10-Feb-2025 22:47              541590
kimchi-lang-3.0.0-r8.apk                           10-Feb-2025 22:47              176031
kimchi-pyc-3.0.0-r8.apk                            10-Feb-2025 22:47              487507
kine-0.10.1-r12.apk                                12-Apr-2025 03:09             8660187
kine-doc-0.10.1-r12.apk                            12-Apr-2025 03:09                5277
kirc-0.3.3-r0.apk                                  22-Feb-2025 11:09               15403
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 11:09                2845
kismet-0.202307.1-r5.apk                           11-Apr-2025 18:13            12372792
kismet-linux-bluetooth-0.202307.1-r5.apk           11-Apr-2025 18:13               46529
kismet-linux-wifi-0.202307.1-r5.apk                11-Apr-2025 18:13               66061
kismet-logtools-0.202307.1-r5.apk                  11-Apr-2025 18:13             1276024
kismet-nrf-51822-0.202307.1-r5.apk                 11-Apr-2025 18:13               42917
kismet-nxp-kw41z-0.202307.1-r5.apk                 11-Apr-2025 18:13               44437
kjv-0_git20221103-r0.apk                           25-Oct-2024 20:11             1615555
klevernotes-1.1.0-r0.apk                           25-Oct-2024 20:11             2690197
klevernotes-lang-1.1.0-r0.apk                      25-Oct-2024 20:11              145475
kmscon-9.0.0-r0.apk                                25-Oct-2024 20:11              819152
kmscon-doc-9.0.0-r0.apk                            25-Oct-2024 20:11                7926
knative-client-1.17.0-r3.apk                       12-Apr-2025 03:09            25682569
knative-client-bash-completion-1.17.0-r3.apk       12-Apr-2025 03:09               10401
knative-client-fish-completion-1.17.0-r3.apk       12-Apr-2025 03:09                4407
knative-client-zsh-completion-1.17.0-r3.apk        12-Apr-2025 03:09                4134
knxd-0.14.61-r1.apk                                14-Dec-2024 19:46              418184
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 19:46               24752
ko-0.17.1-r4.apk                                   12-Apr-2025 03:09            11322376
ko-bash-completion-0.17.1-r4.apk                   12-Apr-2025 03:09                5169
ko-fish-completion-0.17.1-r4.apk                   12-Apr-2025 03:09                4397
ko-zsh-completion-0.17.1-r4.apk                    12-Apr-2025 03:09                4120
kodaskanna-0.2.2-r0.apk                            20-Jan-2025 08:16               56186
kodaskanna-lang-0.2.2-r0.apk                       20-Jan-2025 08:16               23750
komikku-1.74.0-r0.apk                              07-Apr-2025 18:04              410549
komikku-lang-1.74.0-r0.apk                         07-Apr-2025 18:04              269543
komikku-pyc-1.74.0-r0.apk                          07-Apr-2025 18:04              776336
kompose-1.31.2-r9.apk                              12-Apr-2025 03:09             8012853
kompose-bash-completion-1.31.2-r9.apk              12-Apr-2025 03:09                5734
kompose-fish-completion-1.31.2-r9.apk              12-Apr-2025 03:09                4480
kompose-zsh-completion-1.31.2-r9.apk               12-Apr-2025 03:09                6949
kondo-0.8-r0.apk                                   25-Oct-2024 20:11              702578
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 20:11                2153
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 20:11                2152
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 20:11                2518
krita-plugin-gmic-3.2.4.1-r3.apk                   25-Oct-2024 20:11             2936722
ktx-4.3.2-r0.apk                                   25-Oct-2024 20:11             1370392
ktx-dev-4.3.2-r0.apk                               25-Oct-2024 20:11               29879
ktx-libs-4.3.2-r0.apk                              25-Oct-2024 20:11             1468356
kube-no-trouble-0.7.3-r4.apk                       12-Apr-2025 03:09            15063809
kubeconform-0.6.6-r6.apk                           12-Apr-2025 03:09             3741866
kubectl-krew-0.4.5-r1.apk                          12-Apr-2025 03:09             5066733
kubectl-oidc_login-1.32.3-r1.apk                   12-Apr-2025 03:09             6023507
kubeone-1.9.2-r3.apk                               12-Apr-2025 03:09            29131125
kubeone-bash-completion-1.9.2-r3.apk               12-Apr-2025 03:09                6846
kubeone-doc-1.9.2-r3.apk                           12-Apr-2025 03:09               20617
kubeone-zsh-completion-1.9.2-r3.apk                12-Apr-2025 03:09                4142
kubepug-1.7.1-r9.apk                               12-Apr-2025 03:09            18085113
kubepug-bash-completion-1.7.1-r9.apk               12-Apr-2025 03:09                5253
kubepug-fish-completion-1.7.1-r9.apk               12-Apr-2025 03:09                4439
kubepug-zsh-completion-1.7.1-r9.apk                12-Apr-2025 03:09                4153
kubeseal-0.29.0-r0.apk                             20-Apr-2025 20:14            12483403
kubeseal-doc-0.29.0-r0.apk                         20-Apr-2025 20:14                5662
kubesplit-0.3.3-r1.apk                             25-Oct-2024 20:11               12978
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 20:11               13270
lavacli-2.2.0-r0.apk                               21-Mar-2025 18:44               48726
lavacli-doc-2.2.0-r0.apk                           21-Mar-2025 18:44               34439
lavacli-pyc-2.2.0-r0.apk                           21-Mar-2025 18:44               86119
laze-0.1.31-r0.apk                                 18-Feb-2025 22:39             1103885
laze-bash-completion-0.1.31-r0.apk                 18-Feb-2025 22:39                3138
laze-doc-0.1.31-r0.apk                             18-Feb-2025 22:39                3623
laze-fish-completion-0.1.31-r0.apk                 18-Feb-2025 22:39                3460
laze-zsh-completion-0.1.31-r0.apk                  18-Feb-2025 22:39                3641
lazymc-0.2.11-r0.apk                               25-Oct-2024 20:11             1145076
lazymc-openrc-0.2.11-r0.apk                        25-Oct-2024 20:11                2071
lbb-0.9.1-r2.apk                                   12-Apr-2025 03:09             3445501
lbb-doc-0.9.1-r2.apk                               12-Apr-2025 03:09               19038
ldapdomaindump-0.9.4-r1.apk                        25-Oct-2024 20:11               18764
ldapdomaindump-pyc-0.9.4-r1.apk                    25-Oct-2024 20:11               31439
ledmon-1.0.0-r0.apk                                25-Oct-2024 20:11               83180
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 20:11               14412
lefthook-1.11.9-r0.apk                             12-Apr-2025 22:41             5724262
lefthook-doc-1.11.9-r0.apk                         12-Apr-2025 22:41                2298
legume-1.4.2-r8.apk                                12-Apr-2025 03:09             1571800
legume-doc-1.4.2-r8.apk                            12-Apr-2025 03:09               12623
leptosfmt-0.1.33-r0.apk                            25-Mar-2025 15:46             1065325
leptosfmt-doc-0.1.33-r0.apk                        25-Mar-2025 15:46                6187
level-zero-1.21.1-r0.apk                           24-Apr-2025 08:45              615836
level-zero-doc-1.21.1-r0.apk                       24-Apr-2025 08:45                2316
levmar-dev-2.6-r0.apk                              25-Oct-2024 20:11               49408
lfm-3.1-r4.apk                                     25-Oct-2024 20:11               90244
lfm-doc-3.1-r4.apk                                 25-Oct-2024 20:11                2831
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 20:11              136926
lgogdownloader-3.16-r1.apk                         25-Mar-2025 15:24              395049
lgogdownloader-doc-3.16-r1.apk                     25-Mar-2025 15:24                8711
libabigail-2.3-r0.apk                              25-Oct-2024 20:11              946956
libabigail-bash-completion-2.3-r0.apk              25-Oct-2024 20:11                3036
libabigail-dev-2.3-r0.apk                          25-Oct-2024 20:11             1310783
libabigail-doc-2.3-r0.apk                          25-Oct-2024 20:11               62710
libabigail-tools-2.3-r0.apk                        25-Oct-2024 20:11              116017
libandroidfw-0_git20250325-r0.apk                  14-Apr-2025 17:11              456202
libandroidfw-dev-0_git20250325-r0.apk              14-Apr-2025 17:11                7318
libantic-0.2.5-r0.apk                              25-Oct-2024 20:11               50568
libantic-dev-0.2.5-r0.apk                          25-Oct-2024 20:11                6502
libantlr3c-3.4-r3.apk                              25-Oct-2024 20:11               55866
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 20:11               59775
libantlr4-4.13.2-r0.apk                            12-Dec-2024 11:20              507631
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 11:20              973329
libapk3-3.0.0_rc4_git20250320-r0.apk               24-Mar-2025 11:33              137970
libarb-2.23.0-r2.apk                               25-Oct-2024 20:11             1730492
libarb-dev-2.23.0-r2.apk                           25-Oct-2024 20:11               55180
libarb-static-2.23.0-r2.apk                        25-Oct-2024 20:11             2222168
libaudec-0.3.4-r3.apk                              25-Oct-2024 20:11               30873
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 20:11                4384
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 20:11               33675
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 20:11               31101
libb64-2.0.0.1-r0.apk                              25-Oct-2024 20:11                4790
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 20:11                6318
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 20:11                8231
libbamf-0.5.6-r1.apk                               25-Oct-2024 20:11              155100
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 20:11                6569
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 20:11               31825
libbraiding-1.3.1-r0.apk                           18-Nov-2024 18:00              111109
libbraiding-dev-1.3.1-r0.apk                       18-Nov-2024 18:00               15427
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 20:11               49015
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 20:11               39606
libcli-1.10.7-r0.apk                               25-Oct-2024 20:11               32897
libcork-0.15.0-r7.apk                              25-Oct-2024 20:11               37306
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 20:11               30700
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 20:11                4709
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 20:11               14402
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 20:11                8284
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 20:11               11765
libcotp-3.1.0-r0.apk                               25-Oct-2024 20:11                9224
libcotp-dev-3.1.0-r0.apk                           25-Oct-2024 20:11                2523
libcpdf-2.8-r0.apk                                 23-Mar-2025 07:18             2226120
libcpdf-dev-2.8-r0.apk                             23-Mar-2025 07:18               17082
libcpdf-static-2.8-r0.apk                          23-Mar-2025 07:18             3312454
libctl-4.5.1-r1.apk                                25-Oct-2024 20:11               95588
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 20:11               39477
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 20:11                3090
libcyaml-1.4.2-r0.apk                              25-Oct-2024 20:11               23439
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 20:11               13163
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 20:11                8852
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 20:11               27502
libdbusaccess-1.0.20-r0.apk                        13-Apr-2025 22:18               19789
libdbusaccess-dev-1.0.20-r0.apk                    13-Apr-2025 22:18                5118
libdcmtk-3.6.9-r0.apk                              19-Jan-2025 17:13             7071456
libdng-0.2.1-r0.apk                                27-Dec-2024 22:10               11917
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:10                3273
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:10                4298
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:10                6367
libeantic-2.0.2-r1.apk                             25-Oct-2024 20:11               86620
libeantic-dev-2.0.2-r1.apk                         25-Oct-2024 20:11               17972
libecap-1.0.1-r1.apk                               25-Oct-2024 20:11               14010
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 20:11               11695
libecap-static-1.0.1-r1.apk                        25-Oct-2024 20:11               17598
libecm-7.0.5-r1.apk                                25-Oct-2024 20:11              246142
libemf2svg-1.1.0-r2.apk                            25-Oct-2024 20:11              180831
libemf2svg-utils-1.1.0-r2.apk                      25-Oct-2024 20:11               19448
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 20:11               41356
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 20:11               18701
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 20:11              204305
libfishsound-1.0.0-r1.apk                          25-Oct-2024 20:11               10657
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 20:11               58437
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 20:11               77126
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 20:11              107633
libfort-0.4.2-r0.apk                               25-Oct-2024 20:11               32051
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 20:11               17849
libfyaml-0.9-r0.apk                                25-Oct-2024 20:11              299975
libfyaml-dev-0.9-r0.apk                            25-Oct-2024 20:11               43372
libfyaml-doc-0.9-r0.apk                            25-Oct-2024 20:11                7598
libgdcm-3.0.24-r0.apk                              25-Oct-2024 20:11             2743380
libgivaro-4.2.0-r2.apk                             25-Oct-2024 20:11               84682
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 20:11              250304
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 20:11               98965
libgrapheme-1-r0.apk                               25-Oct-2024 20:11               10089
libgrapheme-dev-1-r0.apk                           25-Oct-2024 20:11               10211
libgrapheme-doc-1-r0.apk                           25-Oct-2024 20:11                8158
libguestfs-1.52.0-r1.apk                           25-Oct-2024 20:11              325821
libguestfs-dev-1.52.0-r1.apk                       25-Oct-2024 20:11               30016
libguestfs-doc-1.52.0-r1.apk                       25-Oct-2024 20:11              573259
libguestfs-static-1.52.0-r1.apk                    25-Oct-2024 20:11              457392
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 20:11               16707
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 20:11               20644
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 20:11                6238
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 20:11                6015
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 20:11               13358
libibmad-1.3.13-r2.apk                             25-Oct-2024 20:11               31203
libibmad-dev-1.3.13-r2.apk                         25-Oct-2024 20:11               13301
libibumad-1.3.10.2-r3.apk                          25-Oct-2024 20:11               15328
libibumad-dev-1.3.10.2-r3.apk                      25-Oct-2024 20:11                7756
libibumad-doc-1.3.10.2-r3.apk                      25-Oct-2024 20:11               23758
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44               17936
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44                3388
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44                2228
libigraph-0.10.15-r0.apk                           15-Nov-2024 16:15             1378322
libigraph-dev-0.10.15-r0.apk                       15-Nov-2024 16:15               92632
libiio-0.25-r2.apk                                 25-Oct-2024 20:11               54605
libiio-dev-0.25-r2.apk                             25-Oct-2024 20:11               13671
libiio-doc-0.25-r2.apk                             25-Oct-2024 20:11               18285
libiio-pyc-0.25-r2.apk                             25-Oct-2024 20:11               21454
libiio-tools-0.25-r2.apk                           25-Oct-2024 20:11               75267
libiml-1.0.5-r3.apk                                25-Oct-2024 20:11               83013
libiml-dev-1.0.5-r3.apk                            25-Oct-2024 20:11                4039
libiml-static-1.0.5-r3.apk                         25-Oct-2024 20:11               84265
libirecovery-1.2.1-r0.apk                          30-Oct-2024 22:44               23939
libirecovery-dev-1.2.1-r0.apk                      30-Oct-2024 22:44                4181
libirecovery-progs-1.2.1-r0.apk                    30-Oct-2024 22:44                8647
libiscsi-1.19.0-r2.apk                             25-Oct-2024 20:11               61425
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 20:11               20900
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 20:11                9503
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 20:11               72696
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 20:11               90001
libjodycode-3.1.1-r0.apk                           25-Oct-2024 20:11                7961
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 20:11                4309
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 20:11                3753
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 20:11              180350
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 20:11               20088
libm4ri-20240729-r2.apk                            15-Jan-2025 18:23              161133
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:23               32346
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:23              179713
libm4rie-20200125-r5.apk                           15-Jan-2025 18:23              190304
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:23               25013
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:23              254561
libmdbx-0.11.8-r0.apk                              25-Oct-2024 20:11              920916
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 20:11             2689575
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 20:11               95429
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 20:11                9065
libmdf-1.0.29-r0.apk                               25-Oct-2024 20:11               38826
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 20:11               14147
libmedc-4.1.1-r4.apk                               25-Oct-2024 20:11              569776
libmedc-dev-4.1.1-r4.apk                           25-Oct-2024 20:11               38409
libmedc-doc-4.1.1-r4.apk                           25-Oct-2024 20:11            42498591
libmedc-python-4.1.1-r4.apk                        25-Oct-2024 20:11             1865060
libmedc-python-pyc-4.1.1-r4.apk                    25-Oct-2024 20:11              486850
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 20:11              100296
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 20:11              112319
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 20:11                8347
libmpfi-1.5.4-r2.apk                               25-Oct-2024 20:11               41063
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 20:11                5513
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 20:11               19196
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 20:11               56831
libmrss-0.19.2-r1.apk                              25-Oct-2024 20:11               22322
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 20:11               33901
libmustache-0.5.0-r1.apk                           25-Oct-2024 20:11               90878
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 20:11               94242
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 20:11               12134
libmysofa-1.3.2-r0.apk                             25-Oct-2024 20:11               28605
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 20:11                7184
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 20:11             1121759
libnbcompat-1.0.2-r0.apk                           25-Oct-2024 20:11               34512
libnbcompat-dev-1.0.2-r0.apk                       25-Oct-2024 20:11               68220
libnest2d-0.4-r7.apk                               06-Feb-2025 04:49                1244
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:49               71549
libnfc-1.8.0-r1.apk                                25-Oct-2024 20:11               56560
libnfc-dev-1.8.0-r1.apk                            25-Oct-2024 20:11                8077
libnfc-doc-1.8.0-r1.apk                            25-Oct-2024 20:11               22506
libnfc-tools-1.8.0-r1.apk                          25-Oct-2024 20:11               59521
libnfcdef-1.0.1-r0.apk                             13-Apr-2025 22:18               14708
libnfcdef-dev-1.0.1-r0.apk                         13-Apr-2025 22:18                5868
libnih-1.0.3-r7.apk                                25-Oct-2024 20:11              110356
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 20:11              113031
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 20:11                2770
libntl-11.5.1-r4.apk                               25-Oct-2024 20:11             1293828
libntl-dev-11.5.1-r4.apk                           25-Oct-2024 20:11              162530
libntl-doc-11.5.1-r4.apk                           25-Oct-2024 20:11              382540
libntl-static-11.5.1-r4.apk                        25-Oct-2024 20:11             1778057
libnxml-0.18.3-r0.apk                              25-Oct-2024 20:11               22295
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 20:11               30191
libofx-0.10.9-r1.apk                               25-Oct-2024 20:11               70048
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 20:11               20057
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 20:11              108211
liboggz-1.1.1-r2.apk                               25-Oct-2024 20:11              103658
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 20:11              166184
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 20:11              137455
libopensles-standalone-0_git20240221-r0.apk        14-Apr-2025 17:11               37420
libopensles-standalone-dbg-0_git20240221-r0.apk    14-Apr-2025 17:11              230688
libopensles-standalone-dev-0_git20240221-r0.apk    14-Apr-2025 17:11                1619
libppl-1.2-r1.apk                                  25-Oct-2024 20:11              559631
libppl_c-1.2-r1.apk                                25-Oct-2024 20:11             1734598
libqd-2.3.24-r0.apk                                25-Oct-2024 20:11              181178
libqd-dev-2.3.24-r0.apk                            25-Oct-2024 20:11               59178
libqd-doc-2.3.24-r0.apk                            25-Oct-2024 20:11              186845
libqd-static-2.3.24-r0.apk                         25-Oct-2024 20:11              257306
libqofono-0.124-r0.apk                             10-Jan-2025 12:38                1245
libqofono-dev-0.124-r0.apk                         10-Jan-2025 12:38               43109
libqofono-qt5-0.124-r0.apk                         10-Jan-2025 12:38              309070
libqofono-qt6-0.124-r0.apk                         10-Jan-2025 12:38              456713
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 09:34               75517
libqtdbustest-0.3.3-r1.apk                         17-Feb-2025 09:34               34401
libraqm-0.10.2-r0.apk                              25-Oct-2024 20:11               11450
libraqm-dev-0.10.2-r0.apk                          25-Oct-2024 20:11                4641
libraqm-doc-0.10.2-r0.apk                          25-Oct-2024 20:11               21631
libre-3.20.0-r0.apk                                25-Feb-2025 12:18              304910
libre-dev-3.20.0-r0.apk                            25-Feb-2025 12:18              478622
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 20:11               46457
librespot-0.6.0-r0.apk                             01-Nov-2024 21:59             2980136
librespot-openrc-0.6.0-r0.apk                      01-Nov-2024 21:59                1854
libresprite-1.2-r0.apk                             13-Apr-2025 22:16            15830603
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16               15076
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 20:11              292656
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 20:11              372011
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 20:11              334023
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 20:11             1663677
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 20:11              384527
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 20:11              701867
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 20:11              254060
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 20:11              310543
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 20:11              287951
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 20:11              631965
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 20:11              148042
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 20:11            10934466
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 20:11               37755
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 20:11              176342
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 20:11              924608
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 20:11              734379
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 20:11              202705
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 20:11                8218
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 20:11              197205
libretro-mame2000-0_git20240701-r0.apk             25-Oct-2024 20:11             2918427
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 20:11             7097620
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 20:11              193757
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 20:11              532115
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 20:11              315612
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 20:11              513335
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 20:11              195760
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 20:11              846798
libretro-pcsx-rearmed-0_git20220409-r0.apk         25-Oct-2024 20:11              559455
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 20:11              511575
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 20:11               90857
libretro-ppsspp-0_git20210516-r15.apk              11-Apr-2025 18:13             2460499
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 20:11            21983687
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 20:11              627565
libretro-theodore-3.1-r0.apk                       25-Oct-2024 20:11              889908
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 20:11              418457
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 20:11              127016
libretro-yabause-0_git20210411-r0.apk              25-Oct-2024 20:11              518651
libsbsms-2.3.0-r0.apk                              25-Oct-2024 20:11              108188
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 20:11              125585
libsds-2.0.0-r1.apk                                25-Oct-2024 20:11               10611
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 20:11                3866
libsemanage-3.6-r1.apk                             25-Oct-2024 20:11               98731
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 20:11              144623
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 20:11               23467
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 19:46              775380
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 19:46              342537
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 19:46             1688943
libserialport-0.1.1-r1.apk                         25-Oct-2024 20:11               22126
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 20:11               37907
libsigrok-0.5.2-r3.apk                             25-Oct-2024 20:11              518356
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 20:11               31411
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 20:11              344640
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 20:11               39369
libsimpleble-0.8.1-r0.apk                          25-Feb-2025 22:20              195211
libsimpleble-c-0.8.1-r0.apk                        25-Feb-2025 22:20               16733
libsimplebluez-0.8.1-r0.apk                        25-Feb-2025 22:20              141030
libsirocco-2.1.0-r2.apk                            25-Oct-2024 20:11               72119
libsirocco-dev-2.1.0-r2.apk                        25-Oct-2024 20:11                1945
libspatialindex-0_git20210205-r1.apk               25-Oct-2024 20:11              341851
libspatialindex-dev-0_git20210205-r1.apk           25-Oct-2024 20:11               22528
libstirshaken-0_git20240208-r3.apk                 23-Apr-2025 21:27               54231
libstirshaken-dev-0_git20240208-r3.apk             23-Apr-2025 21:27               78930
libstirshaken-tools-0_git20240208-r3.apk           23-Apr-2025 21:27              162687
libsymmetrica-3.0.1-r2.apk                         25-Oct-2024 20:11             4647601
libsymmetrica-dev-3.0.1-r2.apk                     25-Oct-2024 20:11               32830
libsymmetrica-static-3.0.1-r2.apk                  25-Oct-2024 20:11             6199139
libtins-4.5-r1.apk                                 25-Oct-2024 20:11              365400
libtins-dev-4.5-r1.apk                             25-Oct-2024 20:11              144709
libtins-doc-4.5-r1.apk                             25-Oct-2024 20:11                2373
libtinycbor-0.6.0-r1.apk                           25-Oct-2024 20:11               20901
libtommath-1.2.1-r0.apk                            25-Oct-2024 20:11               44379
libtommath-dev-1.2.1-r0.apk                        25-Oct-2024 20:11               70922
libtsm-4.0.2-r1.apk                                25-Oct-2024 20:11               26515
libtsm-dev-4.0.2-r1.apk                            25-Oct-2024 20:11                9753
libucl-0.9.0-r0.apk                                25-Oct-2024 20:11               57224
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 20:11               83976
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 20:11                9077
libuecc-7-r4.apk                                   03-Mar-2025 16:06               10188
libuecc-dev-7-r4.apk                               03-Mar-2025 16:06                4747
libuninameslist-20230916-r0.apk                    25-Oct-2024 20:11              376342
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 20:11                3553
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 20:11                2094
libupstart-2.0.3-r5.apk                            25-Oct-2024 20:11               55371
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 20:11               63878
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 20:11               15642
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 20:11                2989
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 20:11              124549
libvmaf-3.0.0-r0.apk                               25-Oct-2024 20:11              379731
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 20:11              224046
libvoikko-4.3.2-r1.apk                             25-Oct-2024 20:11              132536
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 20:11               10144
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 20:11                5860
libwbxml-0.11.8-r0.apk                             25-Oct-2024 20:11               74395
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 20:11                9173
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 20:11               28944
libwhich-1.2.0-r0.apk                              25-Oct-2024 20:11                4618
libwmiclient-1.3.16-r5.apk                         25-Oct-2024 20:11                1489
libwmiclient-dev-1.3.16-r5.apk                     25-Oct-2024 20:11                1736
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:47               71343
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:47               30074
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45              183079
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45               77589
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45               64325
libzn_poly-0.9.2-r2.apk                            25-Oct-2024 20:11               52139
libzn_poly-dev-0.9.2-r2.apk                        25-Oct-2024 20:11                8364
libzn_poly-static-0.9.2-r2.apk                     25-Oct-2024 20:11               54697
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55              171020
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55               38761
libzvbi-0.2.44-r0.apk                              11-Mar-2025 20:28              222274
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 20:28               14647
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 20:28              280753
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 20:11               18318
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 20:11               18851
limnoria-20240828-r0.apk                           25-Oct-2024 20:11             1130320
limnoria-doc-20240828-r0.apk                       25-Oct-2024 20:11                8601
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 20:11             1274958
linkquisition-1.6.1-r4.apk                         12-Apr-2025 03:09            13186753
linphone-5.3.38-r0.apk                             25-Oct-2024 20:11             9469286
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 20:11              255712
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 20:11             3344661
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 20:11              201797
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 20:11                5176
linuxptp-4.4-r0.apk                                18-Nov-2024 18:00                1245
linuxptp-doc-4.4-r0.apk                            18-Nov-2024 18:00               39367
linuxptp-hwstamp_ctl-4.4-r0.apk                    18-Nov-2024 18:00                4558
linuxptp-nsm-4.4-r0.apk                            18-Nov-2024 18:00               33200
linuxptp-phc2sys-4.4-r0.apk                        18-Nov-2024 18:00               40115
linuxptp-phc_ctl-4.4-r0.apk                        18-Nov-2024 18:00               11092
linuxptp-pmc-4.4-r0.apk                            18-Nov-2024 18:00               35783
linuxptp-ptp4l-4.4-r0.apk                          18-Nov-2024 18:00               84504
linuxptp-timemaster-4.4-r0.apk                     18-Nov-2024 18:00               16065
linuxptp-ts2phc-4.4-r0.apk                         18-Nov-2024 18:00               39283
linuxptp-tz2alt-4.4-r0.apk                         18-Nov-2024 18:00               20208
liquibase-4.9.1-r0.apk                             25-Oct-2024 20:11            33161794
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 20:11               58256
listenbrainz-mpd-2.3.8-r0.apk                      25-Oct-2024 20:11             1251367
listenbrainz-mpd-bash-completion-2.3.8-r0.apk      25-Oct-2024 20:11                2158
listenbrainz-mpd-doc-2.3.8-r0.apk                  25-Oct-2024 20:11               14750
listenbrainz-mpd-fish-completion-2.3.8-r0.apk      25-Oct-2024 20:11                1854
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk       25-Oct-2024 20:11                2107
litehtml-0.9-r2.apk                                17-Feb-2025 09:34              345857
litehtml-dev-0.9-r2.apk                            17-Feb-2025 09:34               42649
litehtml-static-0.9-r2.apk                         17-Feb-2025 09:34              523480
litterbox-1.9-r1.apk                               25-Oct-2024 20:11               36685
litterbox-doc-1.9-r1.apk                           25-Oct-2024 20:11                7423
lizardfs-3.13.0-r15.apk                            17-Feb-2025 09:34              152459
lizardfs-bash-completion-3.13.0-r15.apk            17-Feb-2025 09:34                1872
lizardfs-cgi-3.13.0-r15.apk                        17-Feb-2025 09:34               32156
lizardfs-cgiserv-3.13.0-r15.apk                    17-Feb-2025 09:34                7486
lizardfs-cgiserv-openrc-3.13.0-r15.apk             17-Feb-2025 09:34                1965
lizardfs-chunkserver-3.13.0-r15.apk                17-Feb-2025 09:34              390998
lizardfs-chunkserver-openrc-3.13.0-r15.apk         17-Feb-2025 09:34                1639
lizardfs-client-3.13.0-r15.apk                     17-Feb-2025 09:34             1383639
lizardfs-doc-3.13.0-r15.apk                        17-Feb-2025 09:34               11662
lizardfs-master-3.13.0-r15.apk                     17-Feb-2025 09:34             1019888
lizardfs-master-openrc-3.13.0-r15.apk              17-Feb-2025 09:34                1629
lizardfs-metalogger-3.13.0-r15.apk                 17-Feb-2025 09:34              173204
lizardfs-metalogger-openrc-3.13.0-r15.apk          17-Feb-2025 09:34                1630
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44              107247
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44               22087
llmnrd-0.7-r1.apk                                  25-Oct-2024 20:11               17060
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 20:11                3110
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 20:11                1937
lockrun-1.1.3-r1.apk                               25-Oct-2024 20:11                5447
log4cpp-1.1.4-r1.apk                               25-Oct-2024 20:11               77358
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 20:11               39819
log4cxx-1.1.0-r3.apk                               17-Feb-2025 09:34              569457
log4cxx-dev-1.1.0-r3.apk                           17-Feb-2025 09:34              138445
logc-0.5.0-r0.apk                                  25-Oct-2024 20:11                8382
logc-argp-0.5.0-r0.apk                             25-Oct-2024 20:11               17058
logc-config-0.5.0-r0.apk                           25-Oct-2024 20:11                5403
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 20:11                4226
logc-dev-0.5.0-r0.apk                              25-Oct-2024 20:11                9112
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 20:11                3580
logc-libs-0.1.0-r0.apk                             25-Oct-2024 20:11                1487
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 20:11                5794
logtop-0.7-r0.apk                                  25-Oct-2024 20:11               15295
logtop-doc-0.7-r0.apk                              25-Oct-2024 20:11                2883
logtop-libs-0.7-r0.apk                             25-Oct-2024 20:11               16525
logwatch-7.10-r1.apk                               25-Oct-2024 20:11              495069
logwatch-doc-7.10-r1.apk                           25-Oct-2024 20:11               39011
lol-html-1.1.1-r1.apk                              25-Oct-2024 20:11              454295
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 20:11                6670
lolcat-1.4-r0.apk                                  25-Oct-2024 20:11               10193
lomiri-0.4.1-r0.apk                                20-Apr-2025 05:16             4170377
lomiri-action-api-1.2.0-r0.apk                     11-Apr-2025 18:13               86441
lomiri-action-api-dev-1.2.0-r0.apk                 11-Apr-2025 18:13                5080
lomiri-api-0.2.2-r1.apk                            17-Feb-2025 09:34               36871
lomiri-api-dev-0.2.2-r1.apk                        17-Feb-2025 09:34               32834
lomiri-app-launch-0.1.11-r1.apk                    17-Feb-2025 09:34              361973
lomiri-app-launch-dev-0.1.11-r1.apk                17-Feb-2025 09:34               20465
lomiri-calculator-app-4.0.2-r1.apk                 13-Mar-2025 06:06              382874
lomiri-calculator-app-lang-4.0.2-r1.apk            13-Mar-2025 06:06               34624
lomiri-clock-app-4.1.0-r0.apk                      02-Dec-2024 11:46              243311
lomiri-clock-app-lang-4.1.0-r0.apk                 02-Dec-2024 11:46              459832
lomiri-content-hub-2.1.0-r0.apk                    13-Apr-2025 22:06              306906
lomiri-content-hub-dev-2.1.0-r0.apk                13-Apr-2025 22:06               11478
lomiri-content-hub-doc-2.1.0-r0.apk                13-Apr-2025 22:06             1448550
lomiri-content-hub-lang-2.1.0-r0.apk               13-Apr-2025 22:06               47772
lomiri-docviewer-app-3.0.4-r2.apk                  13-Mar-2025 06:06              239994
lomiri-docviewer-app-doc-3.0.4-r2.apk              13-Mar-2025 06:06                2008
lomiri-docviewer-app-lang-3.0.4-r2.apk             13-Mar-2025 06:06              118645
lomiri-download-manager-0.2.1-r0.apk               13-Apr-2025 23:14              656381
lomiri-download-manager-dev-0.2.1-r0.apk           13-Apr-2025 23:14               17330
lomiri-download-manager-doc-0.2.1-r0.apk           13-Apr-2025 23:14             3568234
lomiri-download-manager-lang-0.2.1-r0.apk          13-Apr-2025 23:14               30273
lomiri-filemanager-app-1.0.4-r1.apk                13-Mar-2025 06:06              358238
lomiri-filemanager-app-lang-1.0.4-r1.apk           13-Mar-2025 06:06              174843
lomiri-gallery-app-3.0.2-r1.apk                    13-Mar-2025 06:06             3901566
lomiri-gallery-app-lang-3.0.2-r1.apk               13-Mar-2025 06:06              108326
lomiri-history-service-0.6-r5.apk                  23-Apr-2025 22:21              395337
lomiri-history-service-dev-0.6-r5.apk              23-Apr-2025 22:21               11392
lomiri-indicator-location-0_git20231227-r2.apk     13-Mar-2025 06:06               30762
lomiri-indicator-location-lang-0_git20231227-r2..> 13-Mar-2025 06:06               29680
lomiri-indicator-network-1.1.0-r1.apk              17-Feb-2025 09:34              675058
lomiri-indicator-network-dev-1.1.0-r1.apk          17-Feb-2025 09:34                9699
lomiri-indicator-network-doc-1.1.0-r1.apk          17-Feb-2025 09:34                2046
lomiri-indicator-network-lang-1.1.0-r1.apk         17-Feb-2025 09:34              194728
lomiri-lang-0.4.1-r0.apk                           20-Apr-2025 05:16              275087
lomiri-libusermetrics-1.3.3-r1.apk                 17-Feb-2025 09:34              184881
lomiri-libusermetrics-dev-1.3.3-r1.apk             17-Feb-2025 09:34                7980
lomiri-libusermetrics-doc-1.3.3-r1.apk             17-Feb-2025 09:34              233090
lomiri-libusermetrics-lang-1.3.3-r1.apk            17-Feb-2025 09:34               44215
lomiri-location-service-3.3.0-r2.apk               02-Mar-2025 08:32             2350564
lomiri-location-service-dev-3.3.0-r2.apk           02-Mar-2025 08:32               30495
lomiri-location-service-doc-3.3.0-r2.apk           02-Mar-2025 08:32                2882
lomiri-location-service-lang-3.3.0-r2.apk          02-Mar-2025 08:32               26295
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:15              104411
lomiri-schemas-0.1.7-r0.apk                        26-Jan-2025 00:12               10863
lomiri-settings-components-1.1.2-r0.apk            10-Jan-2025 10:15              227480
lomiri-settings-components-lang-1.1.2-r0.apk       10-Jan-2025 10:15              100150
lomiri-sounds-22.02-r1.apk                         13-Mar-2025 06:06            18837720
lomiri-system-settings-1.3.0-r2.apk                29-Mar-2025 15:58             1135750
lomiri-system-settings-lang-1.3.0-r2.apk           29-Mar-2025 15:58              846130
lomiri-telephony-service-0.6.0-r3.apk              23-Apr-2025 22:21             1116448
lomiri-telephony-service-lang-0.6.0-r3.apk         23-Apr-2025 22:21              109888
lomiri-terminal-app-2.0.4-r0.apk                   09-Mar-2025 21:37               66286
lomiri-terminal-app-doc-2.0.4-r0.apk               09-Mar-2025 21:37                2667
lomiri-terminal-app-lang-2.0.4-r0.apk              09-Mar-2025 21:37               92319
lomiri-thumbnailer-3.0.4-r2.apk                    17-Feb-2025 09:34              247963
lomiri-thumbnailer-dev-3.0.4-r2.apk                17-Feb-2025 09:34                5168
lomiri-thumbnailer-doc-3.0.4-r2.apk                17-Feb-2025 09:34                1510
lomiri-trust-store-2.0.2-r8.apk                    27-Feb-2025 21:26             1054420
lomiri-trust-store-dev-2.0.2-r8.apk                27-Feb-2025 21:26                9285
lomiri-trust-store-lang-2.0.2-r8.apk               27-Feb-2025 21:26               28298
lomiri-ui-extras-0.7.0-r0.apk                      11-Apr-2025 18:13              282351
lomiri-ui-extras-lang-0.7.0-r0.apk                 11-Apr-2025 18:13               52858
lomiri-ui-toolkit-1.3.5110-r0.apk                  10-Jan-2025 10:15             1388854
lomiri-ui-toolkit-dev-1.3.5110-r0.apk              10-Jan-2025 10:15              171783
lomiri-ui-toolkit-lang-1.3.5110-r0.apk             10-Jan-2025 10:15               98695
lomiri-url-dispatcher-0.1.3-r3.apk                 17-Feb-2025 09:34               38780
lomiri-url-dispatcher-dev-0.1.3-r3.apk             17-Feb-2025 09:34                3328
lomiri-url-dispatcher-lang-0.1.3-r3.apk            17-Feb-2025 09:34               18287
lomiri-weather-app-6.0.2-r0.apk                    11-Mar-2025 12:02              311186
lomiri-weather-app-lang-6.0.2-r0.apk               11-Mar-2025 12:02              219168
lotide-0.15.0-r0.apk                               25-Oct-2024 20:11             3552879
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11                3195
lottieconverter-0.2_git20231219-r0.apk             25-Oct-2024 20:11               13755
lottieconverter-doc-0.2_git20231219-r0.apk         25-Oct-2024 20:11                2478
lout-3.42.2-r0.apk                                 25-Oct-2024 20:11             1418263
lout-doc-3.42.2-r0.apk                             25-Oct-2024 20:11              463394
lowjs-1.6.2-r2.apk                                 25-Oct-2024 20:11             1402627
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 20:11                3039
lrcalc-2.1-r1.apk                                  25-Oct-2024 20:11               11609
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 20:11               11605
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 20:11               25861
lsdvd-0.17-r0.apk                                  25-Oct-2024 20:11               13488
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 20:11                2526
lshell-0.9.18-r11.apk                              25-Oct-2024 20:11               37330
lshell-doc-0.9.18-r11.apk                          25-Oct-2024 20:11               25800
lshell-pyc-0.9.18-r11.apk                          25-Oct-2024 20:11               36166
lsix-1.8.2-r0.apk                                  25-Oct-2024 20:11                6669
lsmash-2.14.5-r2.apk                               25-Oct-2024 20:11              298531
lsmash-dev-2.14.5-r2.apk                           25-Oct-2024 20:11              367328
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 20:11                1224
lua-fn-0.1.0-r0.apk                                25-Oct-2024 20:11                3459
lua-inet-0.2.0-r1.apk                              25-Oct-2024 20:11                9348
lua-lanes-3.16.0-r1.apk                            25-Oct-2024 20:11                1481
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 20:11                1210
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 20:11                1209
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 20:11               19563
lua-linenoise-0.9-r1.apk                           25-Oct-2024 20:11                1199
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 20:11                1497
lua-lupa-1.0-r0.apk                                25-Oct-2024 20:11               20043
lua-lut-1.2.1-r0.apk                               25-Oct-2024 20:11               90802
lua-psl-0.3-r0.apk                                 25-Oct-2024 20:11                1154
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 20:11                5451
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 20:11                3702
lua-xml-1.1.3-r2.apk                               25-Oct-2024 20:11                1466
lua5.1-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11               58359
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 20:11               30180
lua5.1-libguestfs-1.52.0-r1.apk                    25-Oct-2024 20:11               92474
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11               11754
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 20:11               18658
lua5.1-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11               23849
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11              422632
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11               88084
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 20:11                6528
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 20:11               24203
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11                4797
lua5.2-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11               58187
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11               11723
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 20:11               18689
lua5.2-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11               23843
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11              422658
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11                9311
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 20:11                6424
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 20:11               24051
lua5.3-apk3-3.0.0_rc4_git20250320-r0.apk           24-Mar-2025 11:33                6376
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11                4849
lua5.3-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11               59029
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 20:11               18692
lua5.3-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11               23848
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11              422672
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11                9359
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 20:11                6450
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11                4845
lua5.4-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11               58739
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 20:11               18686
lua5.4-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11               23852
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11                9426
luacov-0.15.0-r0.apk                               25-Oct-2024 20:11                1487
luacov-html-1.0.0-r1.apk                           25-Oct-2024 20:11                1242
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 20:11               36156
luksmeta-9-r0.apk                                  25-Oct-2024 20:11               14156
luksmeta-dev-9-r0.apk                              25-Oct-2024 20:11                3168
luksmeta-doc-9-r0.apk                              25-Oct-2024 20:11                5612
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 20:11                1264
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 20:11              186120
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 20:11             9584082
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 20:11              906423
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 20:11               11779
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 20:11              178869
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 20:11              436010
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 20:11              221442
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 20:11              141744
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 20:11              190260
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 20:11              110066
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 20:11              215921
lumins-0.4.0-r2.apk                                25-Oct-2024 20:11              682940
lutgen-0.11.2-r0.apk                               25-Oct-2024 20:11             1659188
lutgen-bash-completion-0.11.2-r0.apk               25-Oct-2024 20:11                1723
lutgen-doc-0.11.2-r0.apk                           25-Oct-2024 20:11                4286
lutgen-fish-completion-0.11.2-r0.apk               25-Oct-2024 20:11                1768
lutgen-zsh-completion-0.11.2-r0.apk                25-Oct-2024 20:11                1689
lxappearance-0.6.3-r3.apk                          25-Oct-2024 20:11               30366
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 20:11                3315
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 20:11                2673
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 20:11               82434
lxd-5.0.3-r10.apk                                  12-Apr-2025 03:09            16211643
lxd-bash-completion-5.0.3-r10.apk                  12-Apr-2025 03:09                5182
lxd-client-5.0.3-r10.apk                           12-Apr-2025 03:09             6910790
lxd-feature-5.20-r10.apk                           12-Apr-2025 03:09            76011033
lxd-feature-bash-completion-5.20-r10.apk           12-Apr-2025 03:09                5251
lxd-feature-doc-5.20-r10.apk                       12-Apr-2025 03:09                1713
lxd-feature-openrc-5.20-r10.apk                    12-Apr-2025 03:09                2480
lxd-feature-scripts-5.20-r10.apk                   12-Apr-2025 03:09                2188
lxd-openrc-5.0.3-r10.apk                           12-Apr-2025 03:09                2604
lxd-scripts-5.0.3-r10.apk                          12-Apr-2025 03:09            27801499
lxd-vm-5.0.3-r10.apk                               12-Apr-2025 03:09                1369
lxqt-wayland-session-0.1.0-r0.apk                  11-Jan-2025 10:32              339955
lxqt-wayland-session-doc-0.1.0-r0.apk              11-Jan-2025 10:32               26617
lychee-0.18.1-r0.apk                               19-Mar-2025 13:07             2925021
lychee-doc-0.18.1-r0.apk                           19-Mar-2025 13:07               12663
lynis-3.1.1-r0.apk                                 25-Oct-2024 20:11              277787
lynis-bash-completion-3.1.1-r0.apk                 25-Oct-2024 20:11                3053
lynis-doc-3.1.1-r0.apk                             25-Oct-2024 20:11               50337
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 14:34               38978
lzfse-1.0-r0.apk                                   25-Oct-2024 20:11               20355
lzfse-dev-1.0-r0.apk                               25-Oct-2024 20:11                3502
m2r2-0.3.3-r3.apk                                  25-Oct-2024 20:11               12802
m2r2-pyc-0.3.3-r3.apk                              25-Oct-2024 20:11               15979
ma1sd-2.5.0-r3.apk                                 25-Oct-2024 20:11            39970137
ma1sd-openrc-2.5.0-r3.apk                          25-Oct-2024 20:11                2002
maddy-0.8.1-r2.apk                                 12-Apr-2025 03:09            10835139
maddy-doc-0.8.1-r2.apk                             12-Apr-2025 03:09                2448
maddy-openrc-0.8.1-r2.apk                          12-Apr-2025 03:09                2024
maddy-vim-0.8.1-r2.apk                             12-Apr-2025 03:09                3671
mage-1.13.0-r22.apk                                12-Apr-2025 03:09             1717261
maildir2rss-0.0.7-r4.apk                           12-Apr-2025 03:09             3892798
mailsec-check-0_git20210729-r25.apk                12-Apr-2025 03:09             2858744
mailtutan-0.3.0-r0.apk                             25-Oct-2024 20:11             1396330
mailutils-3.19-r0.apk                              24-Mar-2025 07:02              223788
mailutils-dev-3.19-r0.apk                          24-Mar-2025 07:02             3222042
mailutils-doc-3.19-r0.apk                          24-Mar-2025 07:02              163817
mailutils-libs-3.19-r0.apk                         24-Mar-2025 07:02              551313
mailutils-mh-3.19-r0.apk                           24-Mar-2025 07:02             1476768
mailutils-servers-3.19-r0.apk                      24-Mar-2025 07:02               81956
makeclapman-2.4.4-r4.apk                           12-Apr-2025 03:09             1408442
makeclapman-doc-2.4.4-r4.apk                       12-Apr-2025 03:09                4246
makedumpfile-1.7.7-r0.apk                          22-Apr-2025 14:39              198609
makedumpfile-doc-1.7.7-r0.apk                      22-Apr-2025 14:39               24234
makedumpfile-openrc-1.7.7-r0.apk                   22-Apr-2025 14:39                3002
makeself-2.5.0-r0.apk                              25-Oct-2024 20:11               13312
mame-0.251-r0.apk                                  25-Oct-2024 20:11            98792539
mame-arcade-0.251-r0.apk                           25-Oct-2024 20:11            68043888
mame-common-0.251-r0.apk                           25-Oct-2024 20:11                2724
mame-data-0.251-r0.apk                             25-Oct-2024 20:11            20049131
mame-doc-0.251-r0.apk                              25-Oct-2024 20:11               24642
mame-lang-0.251-r0.apk                             25-Oct-2024 20:11             1495446
mame-mess-0.251-r0.apk                             25-Oct-2024 20:11            54647643
mame-plugins-0.251-r0.apk                          25-Oct-2024 20:11              170323
mame-tools-0.251-r0.apk                            25-Oct-2024 20:11             2864762
mangal-4.0.6-r17.apk                               12-Apr-2025 03:09            11185218
mangal-bash-completion-4.0.6-r17.apk               12-Apr-2025 03:09                5115
mangal-fish-completion-4.0.6-r17.apk               12-Apr-2025 03:09                4018
mangal-zsh-completion-4.0.6-r17.apk                12-Apr-2025 03:09                4098
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 20:11                2874
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 20:11               14770
manifest-tool-2.2.0-r0.apk                         12-Apr-2025 22:41             4291278
manuals-48.1-r0.apk                                19-Apr-2025 19:01              120129
mapnik-4.0.6-r0.apk                                29-Mar-2025 15:58            12555726
mapnik-dev-4.0.6-r0.apk                            29-Mar-2025 15:58              497128
mapnik-doc-4.0.6-r0.apk                            29-Mar-2025 15:58              144968
mapserver-8.4.0-r0.apk                             19-Jan-2025 17:13             1448004
mapserver-dev-8.4.0-r0.apk                         19-Jan-2025 17:13              552773
markdown-oxide-0.25.1-r0.apk                       13-Apr-2025 23:55             1818240
marxan-4.0.7-r1.apk                                25-Oct-2024 20:11              616740
masky-0.2.0-r1.apk                                 25-Oct-2024 20:11              284386
masky-pyc-0.2.0-r1.apk                             25-Oct-2024 20:11               65864
mat2-0.13.4-r3.apk                                 25-Oct-2024 20:11               36241
mat2-doc-0.13.4-r3.apk                             25-Oct-2024 20:11                7923
mat2-pyc-0.13.4-r3.apk                             25-Oct-2024 20:11               55159
materia-20210322-r1.apk                            25-Oct-2024 20:11                1740
materia-chromium-20210322-r1.apk                   25-Oct-2024 20:11                5845
materia-compact-20210322-r1.apk                    25-Oct-2024 20:11                1745
materia-compact-chromium-20210322-r1.apk           25-Oct-2024 20:11                5861
materia-compact-gnome-shell-20210322-r1.apk        25-Oct-2024 20:11               32385
materia-compact-gtk2-20210322-r1.apk               25-Oct-2024 20:11               38701
materia-compact-gtk3-20210322-r1.apk               25-Oct-2024 20:11               66101
materia-dark-20210322-r1.apk                       25-Oct-2024 20:11                1750
materia-dark-chromium-20210322-r1.apk              25-Oct-2024 20:11                5864
materia-dark-compact-20210322-r1.apk               25-Oct-2024 20:11                1765
materia-dark-compact-chromium-20210322-r1.apk      25-Oct-2024 20:11                5878
materia-dark-compact-gnome-shell-20210322-r1.apk   25-Oct-2024 20:11               32359
materia-dark-compact-gtk2-20210322-r1.apk          25-Oct-2024 20:11               38634
materia-dark-compact-gtk3-20210322-r1.apk          25-Oct-2024 20:11               42182
materia-dark-compact-kde-kvantum-20220823-r0.apk   25-Oct-2024 20:11                1508
materia-dark-gnome-shell-20210322-r1.apk           25-Oct-2024 20:11               32286
materia-dark-gtk2-20210322-r1.apk                  25-Oct-2024 20:11               38635
materia-dark-gtk3-20210322-r1.apk                  25-Oct-2024 20:11               42195
materia-dark-kde-konsole-20220823-r0.apk           25-Oct-2024 20:11                1891
materia-dark-kde-kvantum-20220823-r0.apk           25-Oct-2024 20:11               30715
materia-dark-kde-plasma-20220823-r0.apk            25-Oct-2024 20:11              515005
materia-dark-kde-yakuake-20220823-r0.apk           25-Oct-2024 20:11               22253
materia-gnome-shell-20210322-r1.apk                25-Oct-2024 20:11               32285
materia-gtk-theme-20210322-r1.apk                  25-Oct-2024 20:11              154728
materia-gtk2-20210322-r1.apk                       25-Oct-2024 20:11               38691
materia-gtk3-20210322-r1.apk                       25-Oct-2024 20:11               66212
materia-kde-20220823-r0.apk                        25-Oct-2024 20:11               19804
materia-kde-konsole-20220823-r0.apk                25-Oct-2024 20:11                1878
materia-kde-kvantum-20220823-r0.apk                25-Oct-2024 20:11               30673
materia-kde-plasma-20220823-r0.apk                 25-Oct-2024 20:11             1782947
materia-light-compact-kde-kvantum-20220823-r0.apk  25-Oct-2024 20:11                1508
materia-light-kde-kvantum-20220823-r0.apk          25-Oct-2024 20:11               30311
materia-light-kde-plasma-20220823-r0.apk           25-Oct-2024 20:11               20595
materia-light-kde-yakuake-20220823-r0.apk          25-Oct-2024 20:11               22015
mautrix-bluesky-0.1.1-r1.apk                       12-Apr-2025 03:09             9462096
mautrix-bluesky-doc-0.1.1-r1.apk                   12-Apr-2025 03:09               13530
mautrix-bluesky-openrc-0.1.1-r1.apk                12-Apr-2025 03:09                2008
mautrix-discord-0.7.3-r0.apk                       16-Apr-2025 17:40             6886653
mautrix-discord-doc-0.7.3-r0.apk                   16-Apr-2025 17:40               13506
mautrix-discord-openrc-0.7.3-r0.apk                16-Apr-2025 17:40                1996
mautrix-twitter-0.4.0-r0.apk                       16-Apr-2025 17:40             6879517
mautrix-twitter-doc-0.4.0-r0.apk                   16-Apr-2025 17:40               13520
mautrix-twitter-openrc-0.4.0-r0.apk                16-Apr-2025 17:40                2000
maxima-5.47.0-r8.apk                               25-Oct-2024 20:11            23284895
maxima-bash-completion-5.47.0-r8.apk               25-Oct-2024 20:11                2449
maxima-doc-5.47.0-r8.apk                           25-Oct-2024 20:11              779208
maxima-doc-extra-5.47.0-r8.apk                     25-Oct-2024 20:11            10517711
maxima-emacs-5.47.0-r8.apk                         25-Oct-2024 20:11              114068
mbpfan-2.4.0-r1.apk                                25-Oct-2024 20:11               13504
mbpfan-doc-2.4.0-r1.apk                            25-Oct-2024 20:11                5405
mbpfan-openrc-2.4.0-r1.apk                         25-Oct-2024 20:11                1688
mbrola-3.3-r0.apk                                  25-Oct-2024 20:11               24675
mcjoin-2.11-r0.apk                                 25-Oct-2024 20:11               26159
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 20:11               55018
mcman-0.4.5-r0.apk                                 25-Oct-2024 20:11             2871123
mcman-doc-0.4.5-r0.apk                             25-Oct-2024 20:11               14038
mcqd-1.0.0-r1.apk                                  25-Oct-2024 20:11               14198
mcqd-dev-1.0.0-r1.apk                              25-Oct-2024 20:11                4146
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 20:11                8987
mdbook-admonish-1.18.0-r0.apk                      27-Dec-2024 09:08             1060931
mdbook-alerts-0.7.0-r0.apk                         11-Jan-2025 12:08              758447
mdbook-katex-0.9.3-r0.apk                          23-Apr-2025 21:27             1216265
mdbook-mermaid-0.14.1-r0.apk                       14-Apr-2025 22:08             1685304
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 20:11              940096
mdcat-2.7.1-r0.apk                                 14-Dec-2024 18:04             3156961
mdcat-bash-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2257
mdcat-doc-2.7.1-r0.apk                             14-Dec-2024 18:04                6185
mdcat-fish-completion-2.7.1-r0.apk                 14-Dec-2024 18:04                2190
mdcat-zsh-completion-2.7.1-r0.apk                  14-Dec-2024 18:04                2506
mdnsd-0.12-r1.apk                                  25-Oct-2024 20:11               24008
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 20:11               14701
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 20:11               19528
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 20:11                2184
mdnsd-static-0.12-r1.apk                           25-Oct-2024 20:11               18458
mdp-1.0.15-r1.apk                                  25-Oct-2024 20:11               18082
mdp-doc-1.0.15-r1.apk                              25-Oct-2024 20:11                3795
mediascanner2-0.115-r3.apk                         13-Mar-2025 06:06              284302
mediastreamer2-5.3.100-r0.apk                      18-Feb-2025 10:35              396290
mediastreamer2-dev-5.3.100-r0.apk                  18-Feb-2025 10:35              112238
mediastreamer2-doc-5.3.100-r0.apk                  18-Feb-2025 10:35              110225
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 06-Mar-2025 22:29               12731
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 20:11                8623
megatools-1.11.3.20250203-r0.apk                   02-Mar-2025 11:54               65171
megatools-bash-completion-1.11.3.20250203-r0.apk   02-Mar-2025 11:54                4135
megatools-doc-1.11.3.20250203-r0.apk               02-Mar-2025 11:54               53306
megazeux-2.93b-r0.apk                              25-Oct-2024 20:11             1148121
megazeux-doc-2.93b-r0.apk                          25-Oct-2024 20:11              464590
meli-0.8.10-r0.apk                                 16-Dec-2024 10:10             4841699
meli-doc-0.8.10-r0.apk                             16-Dec-2024 10:10               48457
memdump-1.01-r1.apk                                25-Oct-2024 20:11                5847
memdump-doc-1.01-r1.apk                            25-Oct-2024 20:11                3187
menumaker-0.99.14-r1.apk                           25-Oct-2024 20:11              113687
mergerfs-2.40.2-r1.apk                             25-Oct-2024 20:11              304138
mergerfs-doc-2.40.2-r1.apk                         25-Oct-2024 20:11               42827
merlin-4.14-r0.apk                                 25-Oct-2024 20:11            14626821
merlin-dev-4.14-r0.apk                             25-Oct-2024 20:11            23531890
merlin-emacs-4.14-r0.apk                           25-Oct-2024 20:11               29215
merlin-vim-4.14-r0.apk                             25-Oct-2024 20:11               28581
meson-tools-0.1-r2.apk                             09-Dec-2024 16:38                8704
meson-tools-doc-0.1-r2.apk                         09-Dec-2024 16:38                8494
mesonlsp-4.3.7-r2.apk                              12-Mar-2025 12:23             2151483
metadata-cleaner-2.5.6-r0.apk                      31-Jan-2025 14:31               50295
metadata-cleaner-doc-2.5.6-r0.apk                  31-Jan-2025 14:31             1994078
metadata-cleaner-lang-2.5.6-r0.apk                 31-Jan-2025 14:31               67490
metalang99-1.13.3-r0.apk                           25-Oct-2024 20:11               55600
milkytracker-1.04.00-r2.apk                        25-Oct-2024 20:11             1040169
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 20:11               51629
mimalloc1-1.8.6-r0.apk                             25-Oct-2024 20:11               74532
mimalloc1-debug-1.8.6-r0.apk                       25-Oct-2024 20:11              179889
mimalloc1-dev-1.8.6-r0.apk                         25-Oct-2024 20:11              446961
mimalloc1-insecure-1.8.6-r0.apk                    25-Oct-2024 20:11               66844
mimedefang-3.6-r0.apk                              02-Mar-2025 12:16              158755
mimedefang-doc-3.6-r0.apk                          02-Mar-2025 12:16               82325
mimeo-2023-r2.apk                                  25-Oct-2024 20:11               28828
mimeo-pyc-2023-r2.apk                              25-Oct-2024 20:11               42552
mingw-w64-libusb-1.0.28-r0.apk                     11-Apr-2025 18:13              225480
minidyndns-1.3.0-r3.apk                            25-Oct-2024 20:11               11920
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 20:11                5231
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 20:11                1891
minimodem-0.24-r1.apk                              25-Oct-2024 20:11               22562
minimodem-doc-0.24-r1.apk                          25-Oct-2024 20:11                5322
minisatip-1.3.4-r0.apk                             25-Oct-2024 20:11              310351
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 20:11                1943
mint-themes-2.1.1-r0.apk                           25-Oct-2024 20:11                2246
mint-themes-doc-2.1.1-r0.apk                       25-Oct-2024 20:11               13128
mint-x-icons-1.7.2-r0.apk                          24-Dec-2024 10:07            23566773
mint-x-icons-doc-1.7.2-r0.apk                      24-Dec-2024 10:07                7555
mint-x-theme-2.1.1-r0.apk                          25-Oct-2024 20:11                2382
mint-x-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:11              501639
mint-x-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:11              617961
mint-x-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:11              522735
mint-x-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:11                6196
mint-x-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:11               35639
mint-y-icons-1.8.3-r0.apk                          05-Feb-2025 22:20            75791378
mint-y-icons-doc-1.8.3-r0.apk                      05-Feb-2025 22:20               11524
mint-y-theme-2.1.1-r0.apk                          25-Oct-2024 20:11                4232
mint-y-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:11              671883
mint-y-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:11             1914029
mint-y-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:11             1633834
mint-y-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:11               59114
mint-y-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:11              211983
mir-2.20.2-r0.apk                                  17-Apr-2025 23:03             2412844
mir-demos-2.20.2-r0.apk                            17-Apr-2025 23:03              158362
mir-dev-2.20.2-r0.apk                              17-Apr-2025 23:03             7367314
mir-test-tools-2.20.2-r0.apk                       17-Apr-2025 23:03              298552
mirrorhall-0.1.1-r1.apk                            14-Jan-2025 16:36               26885
mjpg-streamer-0_git20210220-r1.apk                 25-Oct-2024 20:11              195486
mkcert-1.4.4-r18.apk                               12-Apr-2025 03:09             1963403
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 20:11               29208
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 20:11                1855
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 20:11              810241
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 20:11                1858
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 20:11              266294
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 20:11                1852
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 20:11              551318
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 20:11                4832
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 20:11              254598
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 20:11                1839
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 20:11              666763
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 20:11                1855
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 20:11              659591
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 20:11                1857
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 20:11               11194
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 20:11                1843
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 20:11              254336
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 20:11                1854
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 20:11              966720
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 20:11                1850
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 20:11               14909
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 20:11                3131
mkosi-25.3-r0.apk                                  01-Feb-2025 22:35              256900
mkosi-pyc-25.3-r0.apk                              01-Feb-2025 22:35              402849
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 20:11               11707
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 20:11                2548
mlxl-0.1-r0.apk                                    25-Oct-2024 20:11                6591
mm-1.4.2-r1.apk                                    25-Oct-2024 20:11                8933
mm-common-1.0.5-r0.apk                             25-Oct-2024 20:11              484387
mm-common-doc-1.0.5-r0.apk                         25-Oct-2024 20:11               32461
mm-dev-1.4.2-r1.apk                                25-Oct-2024 20:11               13395
mm-doc-1.4.2-r1.apk                                25-Oct-2024 20:11               14660
mmix-0_git20221025-r0.apk                          25-Oct-2024 20:11              153837
mml-1.0.0-r0.apk                                   25-Oct-2024 20:11              884263
mml-bash-completion-1.0.0-r0.apk                   25-Oct-2024 20:11                2363
mml-doc-1.0.0-r0.apk                               25-Oct-2024 20:11                4011
mml-fish-completion-1.0.0-r0.apk                   25-Oct-2024 20:11                2335
mml-zsh-completion-1.0.0-r0.apk                    25-Oct-2024 20:11                2911
mmtc-0.3.2-r0.apk                                  25-Oct-2024 20:11              519158
mnamer-2.5.5-r1.apk                                25-Oct-2024 20:11               32473
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 20:11               61930
mobpass-0.2-r6.apk                                 25-Oct-2024 20:11               18468
mobpass-pyc-0.2-r6.apk                             25-Oct-2024 20:11                5367
mod_dnssd-0.6-r0.apk                               25-Oct-2024 20:11                8903
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 20:11              382391
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 20:11             4130237
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 20:11              132372
moe-1.14-r0.apk                                    25-Oct-2024 20:11              112086
moe-doc-1.14-r0.apk                                25-Oct-2024 20:11               19462
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 20:11           119267283
monetdb-11.33.11-r4.apk                            25-Oct-2024 20:11             2289782
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 20:11               78944
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 20:11              328635
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 20:11              206778
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 20:11               91011
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11              102068
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11                1723
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 20:11               38293
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 20:11                7306
moosefs-4.56.6-r0.apk                              17-Feb-2025 09:34              260139
moosefs-cgi-4.56.6-r0.apk                          17-Feb-2025 09:34              124033
moosefs-cgiserv-4.56.6-r0.apk                      17-Feb-2025 09:34                7951
moosefs-cgiserv-openrc-4.56.6-r0.apk               17-Feb-2025 09:34                1980
moosefs-chunkserver-4.56.6-r0.apk                  17-Feb-2025 09:34              193080
moosefs-chunkserver-openrc-4.56.6-r0.apk           17-Feb-2025 09:34                1657
moosefs-client-4.56.6-r0.apk                       17-Feb-2025 09:34              647554
moosefs-doc-4.56.6-r0.apk                          17-Feb-2025 09:34               97402
moosefs-master-4.56.6-r0.apk                       17-Feb-2025 09:34              373849
moosefs-master-openrc-4.56.6-r0.apk                17-Feb-2025 09:34                1645
moosefs-metalogger-4.56.6-r0.apk                   17-Feb-2025 09:34               43215
moosefs-metalogger-openrc-4.56.6-r0.apk            17-Feb-2025 09:34                1650
moosefs-static-4.56.6-r0.apk                       17-Feb-2025 09:34              633371
morph-browser-1.1.2-r0.apk                         26-Jan-2025 00:12              586318
morph-browser-lang-1.1.2-r0.apk                    26-Jan-2025 00:12              334625
motion-4.7.0-r0.apk                                25-Oct-2024 20:11              143313
motion-doc-4.7.0-r0.apk                            25-Oct-2024 20:11              143253
motion-lang-4.7.0-r0.apk                           25-Oct-2024 20:11              482524
motion-openrc-4.7.0-r0.apk                         25-Oct-2024 20:11                2302
mp3gain-1.6.2-r3.apk                               03-Jan-2025 16:48               32777
mp3val-0.1.8-r1.apk                                25-Oct-2024 20:11               14615
mpdcron-0.3-r1.apk                                 25-Oct-2024 20:11              106136
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 20:11               59910
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 20:11               13686
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 20:11                2956
mpdris2-0.9.1-r3.apk                               25-Oct-2024 20:11               15549
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 20:11               15225
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 20:11                2389
mpdris2-rs-0.2.3-r0.apk                            25-Oct-2024 20:11              762825
mpdris2-rs-doc-0.2.3-r0.apk                        25-Oct-2024 20:11               13926
mpv-sponsorblock-2.1.0-r0.apk                      25-Oct-2024 20:11             1420049
mqtt2prometheus-0.1.7-r15.apk                      12-Apr-2025 03:09             4874968
mrsh-0_git20210518-r1.apk                          25-Oct-2024 20:11                5881
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 20:11              192936
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 20:11               10224
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 20:11               69439
msh-2.5.0-r11.apk                                  12-Apr-2025 03:09             3195072
msh-openrc-2.5.0-r11.apk                           12-Apr-2025 03:09                2040
mspdebug-0.25-r1.apk                               25-Oct-2024 20:11              200662
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 20:11               14553
msr-tools-1.3-r1.apk                               25-Oct-2024 20:11               10377
mtree-portable-0_git20220519-r0.apk                25-Oct-2024 20:11               25021
mtree-portable-doc-0_git20220519-r0.apk            25-Oct-2024 20:11               11790
musikcube-3.0.4-r1.apk                             26-Jan-2025 19:50             2531876
musikcube-dev-3.0.4-r1.apk                         26-Jan-2025 19:50               19500
musikcube-plugin-all-3.0.4-r1.apk                  26-Jan-2025 19:50                1313
musikcube-plugin-httpdatastream-3.0.4-r1.apk       26-Jan-2025 19:50               88680
musikcube-plugin-mpris-3.0.4-r1.apk                26-Jan-2025 19:50               24016
musikcube-plugin-openmpt-3.0.4-r1.apk              26-Jan-2025 19:50               35239
musikcube-plugin-server-3.0.4-r1.apk               26-Jan-2025 19:50              415881
musikcube-plugin-stockencoders-3.0.4-r1.apk        26-Jan-2025 19:50               22675
musikcube-plugin-supereqdsp-3.0.4-r1.apk           26-Jan-2025 19:50               29402
musikcube-plugin-taglibreader-3.0.4-r1.apk         26-Jan-2025 19:50               39963
mxclient-0_git20211002-r1.apk                      25-Oct-2024 20:11               82684
n30f-2.0-r3.apk                                    25-Oct-2024 20:11                6925
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 20:11                2234
nauty-2.8.9-r0.apk                                 25-Oct-2024 20:11             5835694
nauty-dev-2.8.9-r0.apk                             25-Oct-2024 20:11             3020362
nauty-libs-2.8.9-r0.apk                            25-Oct-2024 20:11             2176944
nb-7.17.0-r0.apk                                   20-Apr-2025 05:16              154771
nb-bash-completion-7.17.0-r0.apk                   20-Apr-2025 05:16                3059
nb-doc-7.17.0-r0.apk                               20-Apr-2025 05:16               78071
nb-fish-completion-7.17.0-r0.apk                   20-Apr-2025 05:16                2861
nb-full-7.17.0-r0.apk                              20-Apr-2025 05:16                1330
nb-zsh-completion-7.17.0-r0.apk                    20-Apr-2025 05:16                3022
nbsdgames-5-r0.apk                                 25-Oct-2024 20:11              107025
nbsdgames-doc-5-r0.apk                             25-Oct-2024 20:11                9620
ndpi-4.10-r0.apk                                   25-Oct-2024 20:11             1440906
ndpi-dev-4.10-r0.apk                               25-Oct-2024 20:11              919577
neard-0.19-r0.apk                                  25-Oct-2024 20:11              137173
neard-dev-0.19-r0.apk                              25-Oct-2024 20:11               11353
neard-doc-0.19-r0.apk                              25-Oct-2024 20:11                5745
neard-openrc-0.19-r0.apk                           25-Oct-2024 20:11                1752
neko-2.3.0-r0.apk                                  20-Nov-2024 22:26              460446
neko-dev-2.3.0-r0.apk                              20-Nov-2024 22:26               10632
neko-doc-2.3.0-r0.apk                              20-Nov-2024 22:26               20398
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11               26489
neocmakelsp-0.8.19-r0.apk                          27-Feb-2025 18:25             1781760
neocmakelsp-bash-completion-0.8.19-r0.apk          27-Feb-2025 18:25                2358
neocmakelsp-doc-0.8.19-r0.apk                      27-Feb-2025 18:25                5650
neocmakelsp-fish-completion-0.8.19-r0.apk          27-Feb-2025 18:25                2271
neocmakelsp-zsh-completion-0.8.19-r0.apk           27-Feb-2025 18:25                2518
neofetch-7.1.0-r2.apk                              06-Nov-2024 21:46               87714
neofetch-doc-7.1.0-r2.apk                          06-Nov-2024 21:46                6330
nerdlog-1.0.0-r0.apk                               24-Apr-2025 11:00             2780750
netdiscover-0.11-r0.apk                            26-Mar-2025 21:49              453929
netdiscover-doc-0.11-r0.apk                        26-Mar-2025 21:49                4207
netscanner-0.5.1-r1.apk                            25-Oct-2024 20:11             3728758
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 20:11                3412
netsed-1.3-r3.apk                                  25-Oct-2024 20:11               10919
networkmanager-openconnect-1.2.10-r1.apk           07-Apr-2025 22:00               66984
networkmanager-openconnect-lang-1.2.10-r1.apk      07-Apr-2025 22:00             1009919
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 20:11               17567
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 20:11               24534
nextpnr-0.7-r0.apk                                 25-Oct-2024 20:11                1478
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 20:11            26674059
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 20:11              800141
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 20:11             1586046
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 20:11            71932377
nfcd-1.2.1-r0.apk                                  13-Apr-2025 22:18              320247
nfcd-dev-1.2.1-r0.apk                              13-Apr-2025 22:18               23516
nfoview-2.1-r0.apk                                 13-Apr-2025 10:30               39601
nfoview-doc-2.1-r0.apk                             13-Apr-2025 10:30                8195
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:11              729830
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:11               21777
nicotine-plus-3.3.7-r0.apk                         16-Dec-2024 14:21             1570069
nicotine-plus-doc-3.3.7-r0.apk                     16-Dec-2024 14:21                2574
nicotine-plus-lang-3.3.7-r0.apk                    16-Dec-2024 14:21              676798
nicotine-plus-pyc-3.3.7-r0.apk                     16-Dec-2024 14:21              799750
nil-2024.08.06-r0.apk                              29-Mar-2025 15:58             1828117
nitro-2.7_beta8-r2.apk                             25-Oct-2024 20:11              548495
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 20:11              194537
nitrocli-0.4.1-r3.apk                              25-Oct-2024 20:11              448965
nitrocli-bash-completion-0.4.1-r3.apk              25-Oct-2024 20:11                3323
nitrocli-doc-0.4.1-r3.apk                          25-Oct-2024 20:11                9042
nixpacks-0.1.7-r1.apk                              25-Oct-2024 20:11              925991
nkk-0_git20221010-r0.apk                           25-Oct-2024 20:11               16313
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 20:11                2969
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 20:11                7138
nlopt-2.10.0-r0.apk                                06-Feb-2025 04:49              198336
nlopt-dev-2.10.0-r0.apk                            06-Feb-2025 04:49               12202
nlopt-doc-2.10.0-r0.apk                            06-Feb-2025 04:49               23321
nlopt-guile-2.10.0-r0.apk                          06-Feb-2025 04:49               44944
nlopt-octave-2.10.0-r0.apk                         06-Feb-2025 04:49               29115
nm-tray-0.5.0-r0.apk                               25-Oct-2024 20:11              108964
nm-tray-lang-0.5.0-r0.apk                          25-Oct-2024 20:11               27558
nmap-parse-output-1.5.1-r0.apk                     25-Oct-2024 20:11               21912
nmap-parse-output-bash-completion-1.5.1-r0.apk     25-Oct-2024 20:11                1778
nmap-parse-output-doc-1.5.1-r0.apk                 25-Oct-2024 20:11              826865
nmon-16q-r0.apk                                    25-Oct-2024 20:11               75320
noblenote-1.2.1-r1.apk                             25-Oct-2024 20:11              428210
noggin-model-0.1-r0.apk                            25-Oct-2024 20:11            12576151
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 20:11             1762338
noice-0.8-r1.apk                                   25-Oct-2024 20:11               10430
noice-doc-0.8-r1.apk                               25-Oct-2024 20:11                3444
nom-2.8.0-r2.apk                                   12-Apr-2025 03:09             7641610
nom-doc-2.8.0-r2.apk                               12-Apr-2025 03:09                4122
normaliz-3.10.4-r0.apk                             30-Oct-2024 12:50               46988
normaliz-dev-3.10.4-r0.apk                         30-Oct-2024 12:50               74240
normaliz-libs-3.10.4-r0.apk                        30-Oct-2024 12:50             3047942
notification-daemon-3.20.0-r0.apk                  25-Oct-2024 20:11               63780
nsh-0.4.2-r1.apk                                   25-Oct-2024 20:11              641782
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 20:11             3546121
nsnake-3.0.0-r0.apk                                25-Oct-2024 20:11               10036
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 20:11                2691
nsq-1.3.0-r9.apk                                   12-Apr-2025 03:09            28087931
ntpd-rs-1.4.0-r0.apk                               14-Dec-2024 19:46             2506885
ntpd-rs-doc-1.4.0-r0.apk                           14-Dec-2024 19:46               23261
ntpd-rs-openrc-1.4.0-r0.apk                        14-Dec-2024 19:46                1887
nuklear-4.12.0-r0.apk                              25-Oct-2024 20:11              225112
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 20:11               43454
nullmailer-2.2-r4.apk                              25-Oct-2024 20:11              142527
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 20:11               10461
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 20:11                1633
numbat-1.9.0-r0.apk                                25-Oct-2024 20:11             1114523
numbat-doc-1.9.0-r0.apk                            25-Oct-2024 20:11               25349
nuzzle-1.6-r0.apk                                  17-Jan-2025 14:21               11455
nuzzle-doc-1.6-r0.apk                              17-Jan-2025 14:21                3281
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 20:11               56158
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 20:11                7994
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 20:11                4255
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 20:11                3394
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 20:11                1831
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 20:11               10714
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 20:11                3564
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 20:11                2666
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 20:11                3607
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 20:11                2087
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 20:11                3860
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 20:11                2023
nvim-gruvbox-0.0.0_git20221212-r1.apk              25-Oct-2024 20:11               10416
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk          25-Oct-2024 20:11                2862
nvim-lualine-0.0.0_git20241101-r0.apk              13-Nov-2024 23:09               60975
nvim-lualine-doc-0.0.0_git20241101-r0.apk          13-Nov-2024 23:09               31294
nvim-packer-0.0.0_git20220910-r1.apk               25-Oct-2024 20:11               46706
nvim-packer-doc-0.0.0_git20220910-r1.apk           25-Oct-2024 20:11               21693
nvim-plenary-0.1.4_git20240917-r0.apk              11-Nov-2024 04:07              104454
nvim-plenary-doc-0.1.4_git20240917-r0.apk          11-Nov-2024 04:07               10553
nvim-treesitter-0.9.3-r0.apk                       04-Nov-2024 03:05              397566
nvim-treesitter-doc-0.9.3-r0.apk                   04-Nov-2024 03:05               30449
nvim-web-devicons-0.100_git20241011-r0.apk         11-Nov-2024 03:58               27466
nvim-web-devicons-doc-0.100_git20241011-r0.apk     11-Nov-2024 03:58                7289
nvimpager-0.12.0-r0.apk                            25-Oct-2024 20:11               12893
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 20:11                4532
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 20:11                1870
nvtop-3.1.0-r0.apk                                 25-Oct-2024 20:11               59221
nvtop-doc-3.1.0-r0.apk                             25-Oct-2024 20:11                3613
nwg-bar-0.1.6-r9.apk                               12-Apr-2025 03:09             1694269
nwg-displays-0.3.13-r1.apk                         25-Oct-2024 20:11               24174
nwg-displays-pyc-0.3.13-r1.apk                     25-Oct-2024 20:11               35597
nwg-dock-0.3.9-r10.apk                             12-Apr-2025 03:09             1866586
nwg-panel-0.10.1-r0.apk                            14-Apr-2025 09:34              286000
nwg-panel-doc-0.10.1-r0.apk                        14-Apr-2025 09:34                4388
nwg-panel-pyc-0.10.1-r0.apk                        14-Apr-2025 09:34              269079
nymphcast-mediaserver-0.1-r3.apk                   25-Oct-2024 20:11              143769
nymphcast-mediaserver-nftables-0.1-r3.apk          25-Oct-2024 20:11                1724
nzbget-24.8-r0.apk                                 19-Mar-2025 11:46             5338540
nzbget-openrc-24.8-r0.apk                          19-Mar-2025 11:46                2075
oauth2-proxy-7.8.1-r1.apk                          12-Apr-2025 03:09             9709946
oauth2-proxy-openrc-7.8.1-r1.apk                   12-Apr-2025 03:09                2168
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 20:11              270793
oblibs-0.3.2.1-r0.apk                              25-Jan-2025 16:17               30682
oblibs-dev-0.3.2.1-r0.apk                          25-Jan-2025 16:17               58876
ocaml-alcotest-1.5.0-r4.apk                        25-Oct-2024 20:11              467863
ocaml-alcotest-dev-1.5.0-r4.apk                    25-Oct-2024 20:11              840383
ocaml-amqp-client-2.3.0-r0.apk                     25-Oct-2024 20:11              612527
ocaml-amqp-client-dev-2.3.0-r0.apk                 25-Oct-2024 20:11             1060685
ocaml-angstrom-0.16.0-r0.apk                       25-Oct-2024 20:11              179274
ocaml-angstrom-dev-0.16.0-r0.apk                   25-Oct-2024 20:11              348496
ocaml-arp-3.0.0-r3.apk                             25-Oct-2024 20:11               84927
ocaml-arp-dev-3.0.0-r3.apk                         25-Oct-2024 20:11              161842
ocaml-asn1-combinators-0.2.6-r2.apk                25-Oct-2024 20:11              320485
ocaml-asn1-combinators-dev-0.2.6-r2.apk            25-Oct-2024 20:11              585852
ocaml-astring-0.8.5-r2.apk                         25-Oct-2024 20:11              289622
ocaml-astring-dev-0.8.5-r2.apk                     25-Oct-2024 20:11              184515
ocaml-atd-2.15.0-r0.apk                            25-Oct-2024 20:11             6793642
ocaml-atd-dev-2.15.0-r0.apk                        25-Oct-2024 20:11             1984039
ocaml-base-0.16.3-r0.apk                           25-Oct-2024 20:11             4785252
ocaml-base-dev-0.16.3-r0.apk                       25-Oct-2024 20:11            10114563
ocaml-base64-3.5.0-r2.apk                          25-Oct-2024 20:11               90342
ocaml-base64-dev-3.5.0-r2.apk                      25-Oct-2024 20:11              179446
ocaml-bigarray-compat-1.1.0-r2.apk                 25-Oct-2024 20:11               12857
ocaml-bigarray-compat-dev-1.1.0-r2.apk             25-Oct-2024 20:11               12614
ocaml-bigstringaf-0.9.0-r2.apk                     25-Oct-2024 20:11               48175
ocaml-bigstringaf-dev-0.9.0-r2.apk                 25-Oct-2024 20:11               92985
ocaml-biniou-1.2.1-r5.apk                          25-Oct-2024 20:11              564847
ocaml-biniou-dev-1.2.1-r5.apk                      25-Oct-2024 20:11              372339
ocaml-bisect_ppx-2.8.3-r0.apk                      25-Oct-2024 20:11             4526491
ocaml-bisect_ppx-dev-2.8.3-r0.apk                  25-Oct-2024 20:11              557880
ocaml-bitstring-4.1.0-r3.apk                       25-Oct-2024 20:11             3943588
ocaml-bitstring-dev-4.1.0-r3.apk                   25-Oct-2024 20:11              583869
ocaml-bos-0.2.1-r2.apk                             25-Oct-2024 20:11              466032
ocaml-bos-dev-0.2.1-r2.apk                         25-Oct-2024 20:11              352794
ocaml-ca-certs-0.2.2-r2.apk                        25-Oct-2024 20:11               29069
ocaml-ca-certs-dev-0.2.2-r2.apk                    25-Oct-2024 20:11               40930
ocaml-ca-certs-nss-3.89.1-r1.apk                   25-Oct-2024 20:11              403974
ocaml-ca-certs-nss-dev-3.89.1-r1.apk               25-Oct-2024 20:11              457704
ocaml-ca-certs-nss-tools-3.89.1-r1.apk             25-Oct-2024 20:11             1595746
ocaml-cairo2-0.6.2-r2.apk                          25-Oct-2024 20:11              170292
ocaml-cairo2-dev-0.6.2-r2.apk                      25-Oct-2024 20:11              444341
ocaml-calendar-2.04-r4.apk                         25-Oct-2024 20:11              217343
ocaml-calendar-dev-2.04-r4.apk                     25-Oct-2024 20:11              142823
ocaml-calendar-doc-2.04-r4.apk                     25-Oct-2024 20:11               12162
ocaml-camlpdf-2.8-r0.apk                           23-Mar-2025 07:18             6221021
ocaml-camlzip-1.11-r2.apk                          25-Oct-2024 20:11              116524
ocaml-camlzip-dev-1.11-r2.apk                      25-Oct-2024 20:11              221331
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 20:11             1359651
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 20:11             5307961
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 20:12             2582279
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 20:12              104024
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 20:12              192631
ocaml-cmdliner-1.1.1-r3.apk                        25-Oct-2024 20:12              473075
ocaml-cmdliner-dev-1.1.1-r3.apk                    25-Oct-2024 20:12              241882
ocaml-cmdliner-doc-1.1.1-r3.apk                    25-Oct-2024 20:12               20241
ocaml-cohttp-5.3.1-r0.apk                          25-Oct-2024 20:12              679704
ocaml-cohttp-dev-5.3.1-r0.apk                      25-Oct-2024 20:12             1339175
ocaml-cohttp-tools-5.3.1-r0.apk                    25-Oct-2024 20:12             7799728
ocaml-compiler-libs-repackaged-0.12.4-r3.apk       25-Oct-2024 20:12               79218
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk   25-Oct-2024 20:12              106773
ocaml-conduit-6.1.0-r0.apk                         25-Oct-2024 20:12              291050
ocaml-conduit-dev-6.1.0-r0.apk                     25-Oct-2024 20:12              555308
ocaml-containers-3.7-r2.apk                        25-Oct-2024 20:12             3627975
ocaml-containers-dev-3.7-r2.apk                    25-Oct-2024 20:12             6953601
ocaml-containers-top-3.7-r2.apk                    25-Oct-2024 20:12               23126
ocaml-cpdf-2.8-r0.apk                              23-Mar-2025 07:18             4887398
ocaml-cstruct-6.1.0-r3.apk                         25-Oct-2024 20:12             4062021
ocaml-cstruct-dev-6.1.0-r3.apk                     25-Oct-2024 20:12              716387
ocaml-ctypes-0.20.1-r2.apk                         25-Oct-2024 20:12              888053
ocaml-ctypes-dev-0.20.1-r2.apk                     25-Oct-2024 20:12              867567
ocaml-curses-1.0.10-r2.apk                         25-Oct-2024 20:12              142212
ocaml-curses-dev-1.0.10-r2.apk                     25-Oct-2024 20:12              309789
ocaml-dns-6.2.2-r3.apk                             25-Oct-2024 20:12             2307722
ocaml-dns-dev-6.2.2-r3.apk                         25-Oct-2024 20:12             4297421
ocaml-dns-tools-6.2.2-r3.apk                       25-Oct-2024 20:12            10856203
ocaml-domain-name-0.4.0-r2.apk                     25-Oct-2024 20:12               76464
ocaml-domain-name-dev-0.4.0-r2.apk                 25-Oct-2024 20:12              144792
ocaml-down-0.1.0-r3.apk                            25-Oct-2024 20:12              598386
ocaml-down-dev-0.1.0-r3.apk                        25-Oct-2024 20:12              272164
ocaml-duration-0.2.0-r2.apk                        25-Oct-2024 20:12               27216
ocaml-duration-dev-0.2.0-r2.apk                    25-Oct-2024 20:12               45091
ocaml-easy-format-1.3.4-r1.apk                     25-Oct-2024 20:12               61195
ocaml-easy-format-dev-1.3.4-r1.apk                 25-Oct-2024 20:12              113982
ocaml-eqaf-0.8-r2.apk                              25-Oct-2024 20:12               69603
ocaml-eqaf-dev-0.8-r2.apk                          25-Oct-2024 20:12              123425
ocaml-erm_xml-0_git20211229-r2.apk                 25-Oct-2024 20:12              587873
ocaml-erm_xml-dev-0_git20211229-r2.apk             25-Oct-2024 20:12              788686
ocaml-erm_xmpp-0_git20220404-r2.apk                25-Oct-2024 20:12             1321591
ocaml-erm_xmpp-dev-0_git20220404-r2.apk            25-Oct-2024 20:12             1664297
ocaml-ethernet-3.0.0-r3.apk                        25-Oct-2024 20:12               43180
ocaml-ethernet-dev-3.0.0-r3.apk                    25-Oct-2024 20:12               85151
ocaml-extlib-1.7.9-r2.apk                          25-Oct-2024 20:12              644166
ocaml-extlib-dev-1.7.9-r2.apk                      25-Oct-2024 20:12             1342769
ocaml-extlib-doc-1.7.9-r2.apk                      25-Oct-2024 20:12               10876
ocaml-ezxmlm-1.1.0-r0.apk                          25-Oct-2024 20:12               32277
ocaml-ezxmlm-dev-1.1.0-r0.apk                      25-Oct-2024 20:12               53119
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 20:12              316837
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 20:12              612388
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 20:12               16183
ocaml-fix-20220121-r2.apk                          25-Oct-2024 20:12              169005
ocaml-fix-dev-20220121-r2.apk                      25-Oct-2024 20:12              440063
ocaml-fmt-0.9.0-r2.apk                             25-Oct-2024 20:12              192302
ocaml-fmt-dev-0.9.0-r2.apk                         25-Oct-2024 20:12              125946
ocaml-fpath-0.7.3-r2.apk                           25-Oct-2024 20:12              139793
ocaml-fpath-dev-0.7.3-r2.apk                       25-Oct-2024 20:12              101980
ocaml-gen-1.1-r1.apk                               25-Oct-2024 20:12              327801
ocaml-gen-dev-1.1-r1.apk                           25-Oct-2024 20:12              622810
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 20:12             3401756
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 20:12              742570
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 20:12               19644
ocaml-gitlab-0.1.8-r0.apk                          25-Oct-2024 20:12             3038884
ocaml-gitlab-dev-0.1.8-r0.apk                      25-Oct-2024 20:12            11985420
ocaml-gmap-0.3.0-r2.apk                            25-Oct-2024 20:12               36755
ocaml-gmap-dev-0.3.0-r2.apk                        25-Oct-2024 20:12               76138
ocaml-happy-eyeballs-0.3.0-r2.apk                  25-Oct-2024 20:12               84595
ocaml-happy-eyeballs-dev-0.3.0-r2.apk              25-Oct-2024 20:12              150622
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk              25-Oct-2024 20:12               39434
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk          25-Oct-2024 20:12               60131
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk        25-Oct-2024 20:12             2480811
ocaml-hex-1.5.0-r2.apk                             25-Oct-2024 20:12               29871
ocaml-hex-dev-1.5.0-r2.apk                         25-Oct-2024 20:12               54615
ocaml-higlo-0.9-r0.apk                             25-Oct-2024 20:12             4888484
ocaml-higlo-dev-0.9-r0.apk                         25-Oct-2024 20:12              679013
ocaml-hkdf-1.0.4-r2.apk                            25-Oct-2024 20:12               13873
ocaml-hkdf-dev-1.0.4-r2.apk                        25-Oct-2024 20:12               18853
ocaml-integers-0.7.0-r2.apk                        25-Oct-2024 20:12              132843
ocaml-integers-dev-0.7.0-r2.apk                    25-Oct-2024 20:12              277197
ocaml-ipaddr-5.3.1-r2.apk                          25-Oct-2024 20:12              318241
ocaml-ipaddr-dev-5.3.1-r2.apk                      25-Oct-2024 20:12              569242
ocaml-iri-1.0.0-r0.apk                             25-Oct-2024 20:12             1920741
ocaml-iri-dev-1.0.0-r0.apk                         25-Oct-2024 20:12              776207
ocaml-iso8601-0.2.6-r0.apk                         25-Oct-2024 20:12               53173
ocaml-iso8601-dev-0.2.6-r0.apk                     25-Oct-2024 20:12               88857
ocaml-jsonm-1.0.2-r0.apk                           25-Oct-2024 20:12              118596
ocaml-jsonm-dev-1.0.2-r0.apk                       25-Oct-2024 20:12               66864
ocaml-jsonm-tools-1.0.2-r0.apk                     25-Oct-2024 20:12              442553
ocaml-lablgtk3-3.1.2-r3.apk                        25-Oct-2024 20:12             8205875
ocaml-lablgtk3-dev-3.1.2-r3.apk                    25-Oct-2024 20:12            14362534
ocaml-lablgtk3-extras-3.0.1-r2.apk                 25-Oct-2024 20:12              891932
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk             25-Oct-2024 20:12             1590468
ocaml-labltk-8.06.12-r2.apk                        25-Oct-2024 20:12             3013718
ocaml-labltk-dev-8.06.12-r2.apk                    25-Oct-2024 20:12             1400661
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 20:12             3508886
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 20:12             3982489
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 20:12                9019
ocaml-lambdasoup-0.7.3-r2.apk                      25-Oct-2024 20:12              192324
ocaml-lambdasoup-dev-0.7.3-r2.apk                  25-Oct-2024 20:12              353452
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 20:12              181005
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 20:12               94375
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 20:12               14059
ocaml-logs-0.7.0-r3.apk                            25-Oct-2024 20:12              117518
ocaml-logs-dev-0.7.0-r3.apk                        25-Oct-2024 20:12               87692
ocaml-lru-0.3.0-r2.apk                             25-Oct-2024 20:12               76520
ocaml-lru-dev-0.3.0-r2.apk                         25-Oct-2024 20:12              166225
ocaml-lwd-0.3-r0.apk                               25-Oct-2024 20:12              497509
ocaml-lwd-dev-0.3-r0.apk                           25-Oct-2024 20:12             1040384
ocaml-lwt-5.7.0-r0.apk                             25-Oct-2024 20:12             1260875
ocaml-lwt-dev-5.7.0-r0.apk                         25-Oct-2024 20:12             3343293
ocaml-lwt-dllist-1.0.1-r3.apk                      25-Oct-2024 20:12               23273
ocaml-lwt-dllist-dev-1.0.1-r3.apk                  25-Oct-2024 20:12               41010
ocaml-lwt_log-1.1.1-r5.apk                         25-Oct-2024 20:12              134444
ocaml-lwt_log-dev-1.1.1-r5.apk                     25-Oct-2024 20:12              261524
ocaml-lwt_ppx-5.7.0-r0.apk                         25-Oct-2024 20:12             3719818
ocaml-lwt_react-5.7.0-r0.apk                       25-Oct-2024 20:12              121440
ocaml-lwt_ssl-1.2.0-r0.apk                         25-Oct-2024 20:12               29798
ocaml-lwt_ssl-dev-1.2.0-r0.apk                     25-Oct-2024 20:12               47955
ocaml-magic-mime-1.3.1-r0.apk                      25-Oct-2024 20:12              214791
ocaml-magic-mime-dev-1.3.1-r0.apk                  25-Oct-2024 20:12              318444
ocaml-markup-1.0.3-r3.apk                          25-Oct-2024 20:12             1020024
ocaml-markup-dev-1.0.3-r3.apk                      25-Oct-2024 20:12             2169281
ocaml-menhir-20220210-r3.apk                       08-Dec-2024 16:20             1271437
ocaml-menhir-dev-20220210-r3.apk                   08-Dec-2024 16:20              912840
ocaml-menhir-doc-20220210-r3.apk                   08-Dec-2024 16:20              624485
ocaml-merlin-extend-0.6.1-r2.apk                   25-Oct-2024 20:12               49695
ocaml-merlin-extend-dev-0.6.1-r2.apk               25-Oct-2024 20:12               93570
ocaml-metrics-0.4.0-r3.apk                         25-Oct-2024 20:12              247689
ocaml-metrics-dev-0.4.0-r3.apk                     25-Oct-2024 20:12              458485
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 20:12               70203
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 20:12               98203
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 20:12              186527
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 20:12              301023
ocaml-mikmatch-1.0.9-r2.apk                        25-Oct-2024 20:12              147031
ocaml-mirage-clock-4.2.0-r2.apk                    25-Oct-2024 20:12               30382
ocaml-mirage-clock-dev-4.2.0-r2.apk                25-Oct-2024 20:12               35558
ocaml-mirage-crypto-0.10.6-r3.apk                  25-Oct-2024 20:12             1305459
ocaml-mirage-crypto-dev-0.10.6-r3.apk              25-Oct-2024 20:12             5683823
ocaml-mirage-flow-3.0.0-r3.apk                     25-Oct-2024 20:12              111041
ocaml-mirage-flow-dev-3.0.0-r3.apk                 25-Oct-2024 20:12              197382
ocaml-mirage-kv-4.0.1-r3.apk                       25-Oct-2024 20:12               20553
ocaml-mirage-kv-dev-4.0.1-r3.apk                   25-Oct-2024 20:12               44161
ocaml-mirage-net-4.0.0-r3.apk                      25-Oct-2024 20:12               12721
ocaml-mirage-net-dev-4.0.0-r3.apk                  25-Oct-2024 20:12               20286
ocaml-mirage-profile-0.9.1-r3.apk                  25-Oct-2024 20:12               25086
ocaml-mirage-profile-dev-0.9.1-r3.apk              25-Oct-2024 20:12               32315
ocaml-mirage-random-3.0.0-r3.apk                   25-Oct-2024 20:12                7258
ocaml-mirage-random-dev-3.0.0-r3.apk               25-Oct-2024 20:12                5510
ocaml-mirage-time-3.0.0-r4.apk                     25-Oct-2024 20:12               12595
ocaml-mirage-time-dev-3.0.0-r4.apk                 25-Oct-2024 20:12                8462
ocaml-mmap-1.2.0-r3.apk                            25-Oct-2024 20:12                7392
ocaml-mmap-dev-1.2.0-r3.apk                        25-Oct-2024 20:12                6641
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 20:12              158387
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 20:12              262384
ocaml-mtime-1.4.0-r2.apk                           25-Oct-2024 20:12               50262
ocaml-mtime-dev-1.4.0-r2.apk                       25-Oct-2024 20:12               48539
ocaml-notty-0.2.3-r0.apk                           25-Oct-2024 20:12              315547
ocaml-notty-dev-0.2.3-r0.apk                       25-Oct-2024 20:12              624128
ocaml-num-1.4-r3.apk                               25-Oct-2024 20:12              261599
ocaml-num-dev-1.4-r3.apk                           25-Oct-2024 20:12               94095
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 20:12             1086963
ocaml-ocf-0.8.0-r3.apk                             25-Oct-2024 20:12             7719386
ocaml-ocf-dev-0.8.0-r3.apk                         25-Oct-2024 20:12              325652
ocaml-ocp-indent-1.8.2-r2.apk                      25-Oct-2024 20:12              543279
ocaml-ocp-indent-dev-1.8.2-r2.apk                  25-Oct-2024 20:12              653682
ocaml-ocp-index-1.3.6-r0.apk                       25-Oct-2024 20:12              461631
ocaml-ocp-index-dev-1.3.6-r0.apk                   25-Oct-2024 20:12              542000
ocaml-ocplib-endian-1.2-r3.apk                     25-Oct-2024 20:12              161046
ocaml-ocplib-endian-dev-1.2-r3.apk                 25-Oct-2024 20:12              265442
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 20:12             1605322
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 20:12                8233
ocaml-omod-0.0.3-r3.apk                            25-Oct-2024 20:12              335287
ocaml-omod-bin-0.0.3-r3.apk                        25-Oct-2024 20:12             2646688
ocaml-omod-dev-0.0.3-r3.apk                        25-Oct-2024 20:12              215866
ocaml-otoml-1.0.5-r0.apk                           25-Oct-2024 20:12              447862
ocaml-otoml-dev-1.0.5-r0.apk                       25-Oct-2024 20:12              793603
ocaml-otr-0.3.10-r2.apk                            25-Oct-2024 20:12              284493
ocaml-otr-dev-0.3.10-r2.apk                        25-Oct-2024 20:12              531106
ocaml-ounit-2.2.7-r3.apk                           25-Oct-2024 20:12              542232
ocaml-ounit-dev-2.2.7-r3.apk                       25-Oct-2024 20:12             1090964
ocaml-parsexp-0.16.0-r0.apk                        25-Oct-2024 20:12              389306
ocaml-parsexp-dev-0.16.0-r0.apk                    25-Oct-2024 20:12              948950
ocaml-pbkdf-1.2.0-r2.apk                           25-Oct-2024 20:12               17322
ocaml-pbkdf-dev-1.2.0-r2.apk                       25-Oct-2024 20:12               25917
ocaml-pcre-7.5.0-r4.apk                            25-Oct-2024 20:12              155155
ocaml-pcre-dev-7.5.0-r4.apk                        25-Oct-2024 20:12              309654
ocaml-ppx_blob-0.8.0-r0.apk                        25-Oct-2024 20:12             3634637
ocaml-ppx_blob-dev-0.8.0-r0.apk                    25-Oct-2024 20:12               19339
ocaml-ppx_derivers-1.2.1-r2.apk                    25-Oct-2024 20:12                9752
ocaml-ppx_derivers-dev-1.2.1-r2.apk                25-Oct-2024 20:12               11556
ocaml-ppx_deriving-5.3.0-r0.apk                    25-Oct-2024 20:12             4893980
ocaml-ppx_deriving-dev-5.3.0-r0.apk                25-Oct-2024 20:12             1343162
ocaml-ppx_sexp_conv-0.16.0-r0.apk                  25-Oct-2024 20:12              573761
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk              25-Oct-2024 20:12             1181333
ocaml-ppxlib-0.32.0-r0.apk                         25-Oct-2024 20:12            13010656
ocaml-ppxlib-dev-0.32.0-r0.apk                     25-Oct-2024 20:12            16710977
ocaml-psq-0.2.0-r2.apk                             25-Oct-2024 20:12               96967
ocaml-psq-dev-0.2.0-r2.apk                         25-Oct-2024 20:12              175284
ocaml-ptime-1.0.0-r2.apk                           25-Oct-2024 20:12              115204
ocaml-ptime-dev-1.0.0-r2.apk                       25-Oct-2024 20:12               76682
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 20:12               54684
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 20:12               96121
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 20:12              672640
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 20:12             1398276
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 20:12              328338
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 20:12                3696
ocaml-randomconv-0.1.3-r2.apk                      25-Oct-2024 20:12               13822
ocaml-randomconv-dev-0.1.3-r2.apk                  25-Oct-2024 20:12               18221
ocaml-re-1.11.0-r1.apk                             25-Oct-2024 20:12              534187
ocaml-re-dev-1.11.0-r1.apk                         25-Oct-2024 20:12              993728
ocaml-react-1.2.2-r2.apk                           25-Oct-2024 20:12              286414
ocaml-react-dev-1.2.2-r2.apk                       25-Oct-2024 20:12              197131
ocaml-reason-3.8.2-r1.apk                          25-Oct-2024 20:12            15873412
ocaml-reason-dev-3.8.2-r1.apk                      25-Oct-2024 20:12            29853928
ocaml-result-1.5-r2.apk                            25-Oct-2024 20:12                9406
ocaml-result-dev-1.5-r2.apk                        25-Oct-2024 20:12                8245
ocaml-rresult-0.7.0-r2.apk                         25-Oct-2024 20:12               39189
ocaml-rresult-dev-0.7.0-r2.apk                     25-Oct-2024 20:12               36057
ocaml-sedlex-3.2-r0.apk                            25-Oct-2024 20:12             4062665
ocaml-sedlex-dev-3.2-r0.apk                        25-Oct-2024 20:12             1568340
ocaml-seq-0.3.1-r2.apk                             25-Oct-2024 20:12               14212
ocaml-seq-dev-0.3.1-r2.apk                         25-Oct-2024 20:12               20470
ocaml-sexplib-0.16.0-r0.apk                        25-Oct-2024 20:12              494205
ocaml-sexplib-dev-0.16.0-r0.apk                    25-Oct-2024 20:12              839928
ocaml-sexplib0-0.16.0-r0.apk                       25-Oct-2024 20:12              173470
ocaml-sexplib0-dev-0.16.0-r0.apk                   25-Oct-2024 20:12              342609
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 20:12               78044
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 20:12              249027
ocaml-ssl-0.7.0-r0.apk                             25-Oct-2024 20:12               97959
ocaml-ssl-dev-0.7.0-r0.apk                         25-Oct-2024 20:12              209303
ocaml-stdlib-shims-0.3.0-r2.apk                    25-Oct-2024 20:12                4295
ocaml-stk-0.1.0-r0.apk                             25-Oct-2024 20:12             6295007
ocaml-stk-dev-0.1.0-r0.apk                         25-Oct-2024 20:12            10286007
ocaml-stringext-1.6.0-r2.apk                       25-Oct-2024 20:12               43257
ocaml-stringext-dev-1.6.0-r2.apk                   25-Oct-2024 20:12               79020
ocaml-tcpip-7.1.2-r3.apk                           25-Oct-2024 20:12             1247625
ocaml-tcpip-dev-7.1.2-r3.apk                       25-Oct-2024 20:12             2513310
ocaml-tls-0.15.3-r4.apk                            25-Oct-2024 20:12             1274781
ocaml-tls-dev-0.15.3-r4.apk                        25-Oct-2024 20:12             2438570
ocaml-tophide-1.0.4-r2.apk                         25-Oct-2024 20:12                5788
ocaml-topkg-1.0.5-r2.apk                           25-Oct-2024 20:12              618975
ocaml-topkg-dev-1.0.5-r2.apk                       25-Oct-2024 20:12              407092
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 20:12               16129
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 20:12               26040
ocaml-tsdl-1.0.0-r0.apk                            25-Oct-2024 20:12              925172
ocaml-tsdl-dev-1.0.0-r0.apk                        25-Oct-2024 20:12              562468
ocaml-tsdl-image-0.6-r0.apk                        25-Oct-2024 20:12               48079
ocaml-tsdl-image-dev-0.6-r0.apk                    25-Oct-2024 20:12               78322
ocaml-tsdl-ttf-0.6-r0.apk                          25-Oct-2024 20:12               63954
ocaml-tsdl-ttf-dev-0.6-r0.apk                      25-Oct-2024 20:12              109595
ocaml-uri-4.2.0-r2.apk                             25-Oct-2024 20:12             1430719
ocaml-uri-dev-4.2.0-r2.apk                         25-Oct-2024 20:12             4468677
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 20:12              357613
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 20:12              781092
ocaml-uucd-14.0.0-r2.apk                           25-Oct-2024 20:12              277043
ocaml-uucd-dev-14.0.0-r2.apk                       25-Oct-2024 20:12              164724
ocaml-uucp-14.0.0-r2.apk                           25-Oct-2024 20:12             5119703
ocaml-uucp-dev-14.0.0-r2.apk                       25-Oct-2024 20:12             1092345
ocaml-uuidm-0.9.8-r2.apk                           25-Oct-2024 20:12               45472
ocaml-uuidm-dev-0.9.8-r2.apk                       25-Oct-2024 20:12               24265
ocaml-uuidm-tools-0.9.8-r2.apk                     25-Oct-2024 20:12              440073
ocaml-uunf-14.0.0-r2.apk                           25-Oct-2024 20:12             1105917
ocaml-uunf-dev-14.0.0-r2.apk                       25-Oct-2024 20:12              193534
ocaml-uuseg-14.0.0-r2.apk                          25-Oct-2024 20:12               93903
ocaml-uuseg-dev-14.0.0-r2.apk                      25-Oct-2024 20:12               49213
ocaml-uuseg-tools-14.0.0-r2.apk                    25-Oct-2024 20:12             1249314
ocaml-uutf-1.0.3-r2.apk                            25-Oct-2024 20:12              619925
ocaml-uutf-dev-1.0.3-r2.apk                        25-Oct-2024 20:12               55735
ocaml-x509-0.16.0-r2.apk                           25-Oct-2024 20:12              837917
ocaml-x509-dev-0.16.0-r2.apk                       25-Oct-2024 20:12             1593335
ocaml-xml-light-2.5-r0.apk                         25-Oct-2024 20:12              218712
ocaml-xml-light-dev-2.5-r0.apk                     25-Oct-2024 20:12              392054
ocaml-xmlm-1.4.0-r2.apk                            25-Oct-2024 20:12              557253
ocaml-xmlm-dev-1.4.0-r2.apk                        25-Oct-2024 20:12               97829
ocaml-xtmpl-0.19.0-r0.apk                          25-Oct-2024 20:12             8693177
ocaml-xtmpl-dev-0.19.0-r0.apk                      25-Oct-2024 20:12              790369
ocaml-yojson-2.1.2-r0.apk                          25-Oct-2024 20:12             1060738
ocaml-yojson-dev-2.1.2-r0.apk                      25-Oct-2024 20:12             1727284
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 20:12              524356
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 20:12             1179099
ocamlnet-4.1.9-r2.apk                              25-Oct-2024 20:12            17082566
ocamlnet-dev-4.1.9-r2.apk                          25-Oct-2024 20:12             5718728
ocamlnet-tcl-4.1.9-r2.apk                          25-Oct-2024 20:12               51097
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 20:12             1261143
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 20:12               46024
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 20:12               71019
ocp-indent-1.8.2-r2.apk                            25-Oct-2024 20:12             1101120
ocp-indent-doc-1.8.2-r2.apk                        25-Oct-2024 20:12               17387
ocp-indent-emacs-1.8.2-r2.apk                      25-Oct-2024 20:12                3817
ocp-indent-vim-1.8.2-r2.apk                        25-Oct-2024 20:12                2475
ocp-index-1.3.6-r0.apk                             25-Oct-2024 20:12             5387694
ocp-index-doc-1.3.6-r0.apk                         25-Oct-2024 20:12               31399
ocp-index-emacs-1.3.6-r0.apk                       25-Oct-2024 20:12                6445
ocp-index-vim-1.3.6-r0.apk                         25-Oct-2024 20:12                3134
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04             3179033
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 20:12                4823
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 20:12                3412
octoprint-filecheck-2024.3.27-r1.apk               25-Oct-2024 20:12               28585
octoprint-filecheck-pyc-2024.3.27-r1.apk           25-Oct-2024 20:12               11568
octoprint-firmwarecheck-2021.10.11-r2.apk          25-Oct-2024 20:12               30009
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      25-Oct-2024 20:12               18016
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04                1748
octoprint-pisupport-2023.10.10-r1.apk              25-Oct-2024 20:12               31574
octoprint-pisupport-pyc-2023.10.10-r1.apk          25-Oct-2024 20:12               13121
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04             1297615
ode-0.16.6-r0.apk                                  19-Jan-2025 11:55              609917
odio-sacd-24.8.1-r0.apk                            13-Apr-2025 07:59                5430
odio-sacd-doc-24.8.1-r0.apk                        13-Apr-2025 07:59                2827
odyssey-1.3-r3.apk                                 25-Oct-2024 20:12              130005
odyssey-openrc-1.3-r3.apk                          25-Oct-2024 20:12                2071
oil-0.21.0-r0.apk                                  25-Oct-2024 20:12             1519351
oil-doc-0.21.0-r0.apk                              25-Oct-2024 20:12                7162
oils-for-unix-0.28.0-r0.apk                        20-Mar-2025 03:18              671420
oils-for-unix-bash-0.28.0-r0.apk                   20-Mar-2025 03:18                1495
oils-for-unix-binsh-0.28.0-r0.apk                  20-Mar-2025 03:18                1498
oils-for-unix-doc-0.28.0-r0.apk                    20-Mar-2025 03:18                7126
ol-2.4-r0.apk                                      25-Oct-2024 20:12             1016648
ol-doc-2.4-r0.apk                                  25-Oct-2024 20:12                2638
olab-0.1.8-r0.apk                                  25-Oct-2024 20:12             3412897
olsrd-0.9.8-r3.apk                                 25-Oct-2024 20:12              169176
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 20:12               25673
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 20:12                1920
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 20:12              179827
onioncat-4.11.0-r1.apk                             25-Oct-2024 20:12               66043
onioncat-doc-4.11.0-r1.apk                         25-Oct-2024 20:12               19692
oo7-0.4.3-r1.apk                                   20-Apr-2025 20:35             1062015
oo7-doc-0.4.3-r1.apk                               20-Apr-2025 20:35                2342
oo7-portal-0.4.3-r1.apk                            20-Apr-2025 20:35             1497944
oo7-portal-openrc-0.4.3-r1.apk                     20-Apr-2025 20:35                1785
oo7-server-0.4.3-r1.apk                            20-Apr-2025 20:35             1099659
oo7-server-openrc-0.4.3-r1.apk                     20-Apr-2025 20:35                1757
opcr-policy-0.3.0-r3.apk                           12-Apr-2025 03:09             9599620
openapi-tui-0.9.4-r1.apk                           25-Oct-2024 20:12             4163985
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 20:12            10418373
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56              203871
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56               72590
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56                3096
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56              648270
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:31               42056
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:31                6448
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 20:12             2025539
openocd-esp32-0_git20250422-r0.apk                 26-Apr-2025 08:27             1949765
openocd-esp32-dev-0_git20250422-r0.apk             26-Apr-2025 08:27                3744
openocd-esp32-doc-0_git20250422-r0.apk             26-Apr-2025 08:27                3287
openocd-esp32-udev-rules-0_git20250422-r0.apk      26-Apr-2025 08:27                3565
openocd-git-0_git20240113-r1.apk                   25-Oct-2024 20:12             1647413
openocd-git-cmd-openocd-0_git20240113-r1.apk       25-Oct-2024 20:12                1539
openocd-git-dbg-0_git20240113-r1.apk               25-Oct-2024 20:12             3898432
openocd-git-dev-0_git20240113-r1.apk               25-Oct-2024 20:12                3496
openocd-git-doc-0_git20240113-r1.apk               25-Oct-2024 20:12                3375
openocd-git-udev-rules-0_git20240113-r1.apk        25-Oct-2024 20:12                3496
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 20:12             1525552
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 20:12                3813
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 20:12                3369
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 20:12                3350
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 20:12               61608
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 20:12               17964
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 20:12              104323
openslide-3.4.1-r3.apk                             25-Oct-2024 20:12               91501
openslide-dev-3.4.1-r3.apk                         25-Oct-2024 20:12                7045
openslide-doc-3.4.1-r3.apk                         25-Oct-2024 20:12                5068
openslide-tools-3.4.1-r3.apk                       25-Oct-2024 20:12                9697
opensm-3.3.24-r2.apk                               25-Oct-2024 20:12              476074
opensm-dev-3.3.24-r2.apk                           25-Oct-2024 20:12              224038
opensm-doc-3.3.24-r2.apk                           25-Oct-2024 20:12               38775
opensm-openrc-3.3.24-r2.apk                        25-Oct-2024 20:12                2901
openspades-0.1.3-r5.apk                            25-Oct-2024 20:12            11885590
openspades-doc-0.1.3-r5.apk                        25-Oct-2024 20:12               20083
openswitcher-0.5.0-r4.apk                          25-Oct-2024 20:12              151961
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 20:12                9606
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 20:12                2085
opentelemetry-cpp-1.19.0-r2.apk                    12-Apr-2025 03:09              633960
opentelemetry-cpp-dev-1.19.0-r2.apk                12-Apr-2025 03:09              506042
opentelemetry-cpp-exporter-otlp-common-1.19.0-r..> 12-Apr-2025 03:09               47226
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r2.apk 12-Apr-2025 03:09               58889
opentelemetry-cpp-exporter-otlp-http-1.19.0-r2.apk 12-Apr-2025 03:09               91092
opentelemetry-cpp-exporter-zipkin-1.19.0-r2.apk    12-Apr-2025 03:09               51790
openvpn3-3.8.5-r1.apk                              17-Feb-2025 09:34              422691
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 09:34              683025
openwsman-2.8.1-r0.apk                             13-Feb-2025 07:46               48213
openwsman-dev-2.8.1-r0.apk                         13-Feb-2025 07:46               56998
openwsman-doc-2.8.1-r0.apk                         13-Feb-2025 07:46                2398
openwsman-libs-2.8.1-r0.apk                        13-Feb-2025 07:46              330791
opkg-0.7.0-r0.apk                                  25-Oct-2024 20:12               10319
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 20:12              108936
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 20:12                7832
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 20:12               82311
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 20:12               25803
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 20:12                3704
opmsg-1.84-r1.apk                                  25-Oct-2024 20:12              311483
orage-4.20.1-r0.apk                                08-Apr-2025 11:49              589691
orage-lang-4.20.1-r0.apk                           08-Apr-2025 11:49             1265932
os-installer-0.4.3-r0.apk                          19-Mar-2025 11:46               84611
osmctools-0.9-r0.apk                               25-Oct-2024 20:12              125927
ostui-1.0.3-r1.apk                                 12-Apr-2025 03:09             5255206
ostui-doc-1.0.3-r1.apk                             12-Apr-2025 03:09               28578
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 20:12              104057
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 20:12               48832
otpclient-4.0.2-r0.apk                             25-Oct-2024 20:12              137803
otpclient-doc-4.0.2-r0.apk                         25-Oct-2024 20:12                3729
otrs-6.0.48-r2.apk                                 25-Oct-2024 20:12            30073389
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 20:12                4126
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 20:12                2463
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 20:12             4058536
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 20:12              814225
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 20:12                1810
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 20:12                1835
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 20:12                1941
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 20:12              109918
ouch-0.5.1-r0.apk                                  25-Oct-2024 20:12             1174711
ouch-bash-completion-0.5.1-r0.apk                  25-Oct-2024 20:12                2353
ouch-doc-0.5.1-r0.apk                              25-Oct-2024 20:12                3754
ouch-fish-completion-0.5.1-r0.apk                  25-Oct-2024 20:12                2428
ouch-zsh-completion-0.5.1-r0.apk                   25-Oct-2024 20:12                2934
ovn-24.03.1-r0.apk                                 25-Oct-2024 20:12             8510114
ovn-dbg-24.03.1-r0.apk                             25-Oct-2024 20:12            23753412
ovn-dev-24.03.1-r0.apk                             25-Oct-2024 20:12             9758661
ovn-doc-24.03.1-r0.apk                             25-Oct-2024 20:12              524213
ovn-openrc-24.03.1-r0.apk                          25-Oct-2024 20:12                4248
ovos-audio-0.3.1-r0.apk                            21-Nov-2024 13:32              138814
ovos-audio-pyc-0.3.1-r0.apk                        21-Nov-2024 13:32               36915
ovos-core-1.2.3-r0.apk                             08-Apr-2025 07:43               51690
ovos-core-pyc-1.2.3-r0.apk                         08-Apr-2025 07:43               64214
ovos-gui-1.1.0-r0.apk                              25-Nov-2024 12:41               31428
ovos-gui-pyc-1.1.0-r0.apk                          25-Nov-2024 12:41               39306
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43               10191
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43                6808
ovos-phal-0.2.7-r0.apk                             21-Nov-2024 13:32               10555
ovos-phal-pyc-0.2.7-r0.apk                         21-Nov-2024 13:32                7348
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 20:12               46733
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 20:12                4062
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 20:12               12230
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 20:12                6672
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 20:12            33134392
p0f-3.09b-r3.apk                                   25-Oct-2024 20:12               77127
p0f-doc-3.09b-r3.apk                               25-Oct-2024 20:12               25873
p910nd-0.97-r2.apk                                 25-Oct-2024 20:12                8947
p910nd-doc-0.97-r2.apk                             25-Oct-2024 20:12                3057
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 20:12                1881
pacoloco-1.7-r0.apk                                19-Apr-2025 19:01             5303583
pacoloco-doc-1.7-r0.apk                            19-Apr-2025 19:01                2291
pacoloco-openrc-1.7-r0.apk                         19-Apr-2025 19:01                1910
pacparser-1.4.5-r1.apk                             25-Oct-2024 20:12              724054
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 20:12                3682
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 20:12               18180
pam-krb5-4.11-r1.apk                               25-Oct-2024 20:12               23597
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 20:12               24187
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 20:12                9053
pamtester-0.1.2-r4.apk                             25-Oct-2024 20:12                9266
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 20:12                2957
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 20:12               45837
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 20:12                6534
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 20:12               84906
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 20:12                1762
paperde-0.2.1-r2.apk                               25-Oct-2024 20:12              688134
paperde-dev-0.2.1-r2.apk                           25-Oct-2024 20:12                5282
paperkey-1.6-r2.apk                                25-Oct-2024 20:12               16706
paperkey-doc-1.6-r2.apk                            25-Oct-2024 20:12                4618
paprefs-1.2-r2.apk                                 22-Nov-2024 22:04               33489
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:04               38795
par-1.53.0-r1.apk                                  25-Oct-2024 20:12               14193
par-doc-1.53.0-r1.apk                              25-Oct-2024 20:12               31060
par2cmdline-turbo-1.2.0-r0.apk                     10-Dec-2024 16:43              287184
par2cmdline-turbo-doc-1.2.0-r0.apk                 10-Dec-2024 16:43                5476
parcellite-1.2.5-r0.apk                            25-Oct-2024 20:12              230847
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 20:12               25832
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 20:12               49947
pari-2.17.2-r0.apk                                 06-Mar-2025 05:56              597649
pari-dev-2.17.2-r0.apk                             06-Mar-2025 05:56              108575
pari-doc-2.17.2-r0.apk                             06-Mar-2025 05:56              945946
pari-libs-2.17.2-r0.apk                            06-Mar-2025 05:56             4641823
parse-changelog-0.6.8-r0.apk                       25-Oct-2024 20:12              532986
pash-2.3.0-r2.apk                                  25-Oct-2024 20:12                4388
pass2csv-1.1.1-r1.apk                              25-Oct-2024 20:12                8566
pass2csv-pyc-1.1.1-r1.apk                          25-Oct-2024 20:12                7652
passage-1.7.4_alpha2-r0.apk                        24-Dec-2024 11:03                8252
passage-bash-completion-1.7.4_alpha2-r0.apk        24-Dec-2024 11:03                3033
passage-fish-completion-1.7.4_alpha2-r0.apk        24-Dec-2024 11:03                2778
passage-zsh-completion-1.7.4_alpha2-r0.apk         24-Dec-2024 11:03                3036
pastel-0.10.0-r0.apk                               25-Oct-2024 20:12              449547
pastel-bash-completion-0.10.0-r0.apk               25-Oct-2024 20:12                3097
pastel-fish-completion-0.10.0-r0.apk               25-Oct-2024 20:12                3307
pastel-zsh-completion-0.10.0-r0.apk                25-Oct-2024 20:12                4988
pasystray-0.8.2-r0.apk                             25-Oct-2024 20:12               47203
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 20:12                3412
pcem-17-r2.apk                                     25-Oct-2024 20:12             1773432
pdal-python-plugins-1.6.2-r0.apk                   13-Jan-2025 06:34              276537
pdf2svg-0.2.3-r1.apk                               25-Oct-2024 20:12                5002
pdfcpu-0.9.1-r4.apk                                12-Apr-2025 03:09             5085235
pdfcrack-0.20-r0.apk                               25-Oct-2024 20:12               25441
pebble-le-0.3.0-r2.apk                             14-Dec-2024 19:46               67522
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 19:46               40675
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 19:46                3770
peervpn-0.044-r5.apk                               25-Oct-2024 20:12               43988
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 20:12                1827
peg-0.1.18-r1.apk                                  25-Oct-2024 20:12               41002
peg-doc-0.1.18-r1.apk                              25-Oct-2024 20:12               13989
pegasus-frontend-16_alpha-r0.apk                   25-Oct-2024 20:12             1291254
pegasus-frontend-doc-16_alpha-r0.apk               25-Oct-2024 20:12               16615
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 20:12             1862146
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 20:12              304663
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 20:12                8331
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 20:12               17174
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 20:12                9817
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 20:12               30181
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 20:12                5793
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 20:12                5222
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 20:12                6257
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 20:12                4705
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 20:12               80623
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 20:12              151276
perl-algorithm-permute-0.17-r0.apk                 25-Oct-2024 20:12               13420
perl-algorithm-permute-doc-0.17-r0.apk             25-Oct-2024 20:12                5153
perl-aliased-0.34-r4.apk                           25-Oct-2024 20:12                5799
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 20:12                5806
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 20:12                5231
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 20:12                4080
perl-anyevent-future-0.05-r0.apk                   25-Oct-2024 20:12                5288
perl-anyevent-future-doc-0.05-r0.apk               25-Oct-2024 20:12                5557
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 20:12               12687
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 20:12               10553
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 20:12               16127
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 20:12                6994
perl-autobox-3.0.2-r0.apk                          25-Oct-2024 20:12               19696
perl-autobox-doc-3.0.2-r0.apk                      25-Oct-2024 20:12                9058
perl-b-hooks-op-check-0.22-r0.apk                  25-Oct-2024 20:12                6986
perl-b-hooks-op-check-doc-0.22-r0.apk              25-Oct-2024 20:12                3795
perl-b-utils-0.27-r0.apk                           25-Apr-2025 16:53               19933
perl-b-utils-doc-0.27-r0.apk                       25-Apr-2025 16:53                9661
perl-barcode-zbar-0.10-r3.apk                      25-Oct-2024 20:12               31734
perl-barcode-zbar-doc-0.10-r3.apk                  25-Oct-2024 20:12               12862
perl-bareword-filehandles-0.007-r0.apk             25-Oct-2024 20:12                6270
perl-bareword-filehandles-doc-0.007-r0.apk         25-Oct-2024 20:12                3250
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 20:12                3968
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 20:12                3712
perl-bsd-resource-1.2911-r10.apk                   25-Oct-2024 20:12               20555
perl-bsd-resource-doc-1.2911-r10.apk               25-Oct-2024 20:12                8044
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 20:12               14668
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 20:12               12450
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 20:12                3038
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 20:12                3301
perl-cairo-1.109-r4.apk                            25-Oct-2024 20:12               84100
perl-cairo-doc-1.109-r4.apk                        25-Oct-2024 20:12               14244
perl-cairo-gobject-1.005-r4.apk                    25-Oct-2024 20:12                6989
perl-cairo-gobject-doc-1.005-r4.apk                25-Oct-2024 20:12                3019
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 14:57                9186
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 14:57                7973
perl-carp-repl-0.18-r0.apk                         26-Apr-2025 17:12                6098
perl-carp-repl-doc-0.18-r0.apk                     26-Apr-2025 17:12                6012
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 14:53                3891
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 14:53                4140
perl-catalyst-action-rest-1.21-r0.apk              01-Apr-2025 05:45               26009
perl-catalyst-action-rest-doc-1.21-r0.apk          01-Apr-2025 05:45               27722
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:40                8813
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:40                6919
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:40                5102
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:40                4550
perl-catalyst-devel-1.42-r0.apk                    20-Mar-2025 16:04               55365
perl-catalyst-devel-doc-1.42-r0.apk                20-Mar-2025 16:04               11657
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22                2750
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22              384133
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 14:57                6638
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 14:57               12402
perl-catalyst-plugin-authentication-0.10024-r0.apk 29-Mar-2025 15:58               32996
perl-catalyst-plugin-authentication-doc-0.10024..> 29-Mar-2025 15:58               57245
perl-catalyst-plugin-configloader-0.35-r0.apk      20-Mar-2025 16:04                5516
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  20-Mar-2025 16:04               10566
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 08:55                4223
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 08:55               13598
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:57               14774
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:57               25743
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32                4968
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32                4963
perl-catalyst-plugin-static-simple-0.37-r0.apk     20-Mar-2025 16:04                8903
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 20-Mar-2025 16:04                7588
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 11:46              153283
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 11:46              221534
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 08:55                9298
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 08:55               11017
perl-catalyst-view-tt-0.46-r0.apk                  12-Apr-2025 15:51               13942
perl-catalyst-view-tt-doc-0.46-r0.apk              12-Apr-2025 15:51               13003
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 20:12                7042
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 20:12                6314
perl-cgi-simple-1.281-r0.apk                       12-Mar-2025 14:01               56849
perl-cgi-simple-doc-1.281-r0.apk                   12-Mar-2025 14:01               43542
perl-cgi-struct-1.21-r0.apk                        14-Mar-2025 16:31                8021
perl-cgi-struct-doc-1.21-r0.apk                    14-Mar-2025 16:31                6942
perl-check-unitcheck-0.13-r1.apk                   25-Oct-2024 20:12                6357
perl-check-unitcheck-doc-0.13-r1.apk               25-Oct-2024 20:12                3641
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 20:12               12311
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 20:12                7658
perl-class-c3-0.35-r1.apk                          25-Oct-2024 20:12                9690
perl-class-c3-adopt-next-0.14-r0.apk               14-Mar-2025 16:31                5204
perl-class-c3-adopt-next-doc-0.14-r0.apk           14-Mar-2025 16:31                4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 20:12                5658
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 20:12                5467
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 20:12                9507
perl-class-inner-0.200001-r5.apk                   25-Oct-2024 20:12                4046
perl-class-inner-doc-0.200001-r5.apk               25-Oct-2024 20:12                4214
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54               13053
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54               12343
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 20:12                7459
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 20:12                5284
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 11:46                9684
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 11:46                7538
perl-conf-libconfig-1.0.3-r0.apk                   25-Oct-2024 20:12               26314
perl-conf-libconfig-doc-1.0.3-r0.apk               25-Oct-2024 20:12                5572
perl-constant-defer-6-r5.apk                       25-Oct-2024 20:12                7579
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 20:12                7119
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 20:12                8964
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 20:12                7217
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 20:12                3959
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 20:12                4320
perl-cpan-changes-0.500004-r0.apk                  25-Oct-2024 20:12               13979
perl-cpan-changes-doc-0.500004-r0.apk              25-Oct-2024 20:12               18666
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 20:12               11512
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 20:12                9019
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 20:12                7119
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 20:12                6516
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 20:12               23300
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 20:12               33341
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 20:12               12683
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 20:12                8457
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 20:12               15677
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:12               15172
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 20:12                5044
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 20:12                5481
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 20:12                9936
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 20:12                8666
perl-dancer-session-cookie-0.30-r2.apk             25-Oct-2024 20:12                5591
perl-dancer-session-cookie-doc-0.30-r2.apk         25-Oct-2024 20:12                4278
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54              166951
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54              307991
perl-data-checks-0.10-r0.apk                       25-Oct-2024 20:12               24568
perl-data-checks-doc-0.10-r0.apk                   25-Oct-2024 20:12                8397
perl-data-dump-streamer-2.42-r0.apk                26-Apr-2025 04:58               52180
perl-data-dump-streamer-doc-2.42-r0.apk            26-Apr-2025 04:58               17610
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 20:12                5987
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 20:12                5608
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 20:12                9038
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 20:12                6001
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 14:53               10006
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 14:53                8511
perl-database-async-0.019-r0.apk                   25-Oct-2024 20:12               23331
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 20:12               29874
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:12               14275
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:12                9536
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:08                3225
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:08                3858
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:26               18484
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:26               12412
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:08                4496
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:08                4237
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 20:12                2599
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 20:12                7815
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:12               12095
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:12               15394
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 20:12                6031
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 20:12                5464
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:27              364008
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 06:00                8060
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 06:00                9711
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:27              430595
perl-dbix-class-helpers-2.037000-r0.apk            18-Nov-2024 18:00               48862
perl-dbix-class-helpers-doc-2.037000-r0.apk        18-Nov-2024 18:00              124277
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37               15098
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37               22831
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 20:12                4400
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 20:12                7719
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 20:12                8244
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 20:12                8665
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37               18446
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37               17936
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 20:12               11701
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 20:12                6813
perl-devel-leak-0.03-r13.apk                       25-Oct-2024 20:12                7344
perl-devel-leak-doc-0.03-r13.apk                   25-Oct-2024 20:12                3361
perl-devel-refcount-0.10-r1.apk                    25-Oct-2024 20:12                6455
perl-devel-refcount-doc-0.10-r1.apk                25-Oct-2024 20:12                4347
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 14:50               29026
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 14:50               61593
perl-devel-stacktrace-withlexicals-2.01-r0.apk     26-Apr-2025 10:21                3745
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 26-Apr-2025 10:21                3508
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 20:12                5716
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 20:12                5308
perl-digest-crc-0.24-r1.apk                        25-Oct-2024 20:12               10787
perl-digest-crc-doc-0.24-r1.apk                    25-Oct-2024 20:12                3260
perl-dns-unbound-0.29-r1.apk                       25-Oct-2024 20:12               25272
perl-dns-unbound-anyevent-0.29-r1.apk              25-Oct-2024 20:12                2394
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk  25-Oct-2024 20:12                1980
perl-dns-unbound-doc-0.29-r1.apk                   25-Oct-2024 20:12               15641
perl-dns-unbound-ioasync-0.29-r1.apk               25-Oct-2024 20:12                2474
perl-dns-unbound-mojo-0.29-r1.apk                  25-Oct-2024 20:12                2742
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 20:12                7834
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 20:12               13204
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 20:12                4063
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:12                3908
perl-email-reply-1.204-r5.apk                      25-Oct-2024 20:12                6289
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 20:12                4920
perl-email-sender-2.601-r0.apk                     04-Apr-2025 08:55               25257
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 08:55               43106
perl-ev-hiredis-0.07-r1.apk                        25-Oct-2024 20:12               14092
perl-ev-hiredis-doc-0.07-r1.apk                    25-Oct-2024 20:12                4272
perl-expect-1.38-r0.apk                            19-Apr-2025 19:01               33221
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:01               20782
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38                5622
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38                5001
perl-extutils-makemaker-7.70-r2.apk                25-Oct-2024 20:12              178930
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 20:12               44095
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 20:12               21645
perl-feed-find-0.13-r0.apk                         25-Oct-2024 20:12                3947
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 20:12                3832
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 20:12               20323
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 20:12               29289
perl-ffi-platypus-2.10-r0.apk                      19-Dec-2024 05:12              186713
perl-ffi-platypus-doc-2.10-r0.apk                  19-Dec-2024 05:12              149649
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 20:12                5332
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 20:12                5287
perl-file-changenotify-0.31-r0.apk                 20-Mar-2025 16:04               12395
perl-file-changenotify-doc-0.31-r0.apk             20-Mar-2025 16:04               14343
perl-file-mmagic-xs-0.09008-r4.apk                 25-Oct-2024 20:12               31895
perl-file-mmagic-xs-doc-0.09008-r4.apk             25-Oct-2024 20:12                4301
perl-file-rename-2.02-r0.apk                       25-Oct-2024 20:12                7723
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 20:12               12377
perl-flowd-0.9.1-r10.apk                           25-Oct-2024 20:12               22605
perl-flowd-doc-0.9.1-r10.apk                       25-Oct-2024 20:12                3282
perl-freezethaw-0.5001-r2.apk                      25-Oct-2024 20:12               10080
perl-freezethaw-doc-0.5001-r2.apk                  25-Oct-2024 20:12                5796
perl-full-1.004-r0.apk                             25-Oct-2024 20:12                7288
perl-full-doc-1.004-r0.apk                         25-Oct-2024 20:12               10545
perl-future-asyncawait-hooks-0.02-r0.apk           25-Oct-2024 20:12                8708
perl-future-asyncawait-hooks-doc-0.02-r0.apk       25-Oct-2024 20:12                3323
perl-future-http-0.17-r0.apk                       25-Oct-2024 20:12                9455
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 20:12               16054
perl-future-q-0.120-r0.apk                         25-Oct-2024 20:12                9836
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 20:12                9337
perl-future-queue-0.52-r0.apk                      25-Oct-2024 20:12                4187
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 20:12                4377
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 20:12               28125
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 20:12               20257
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:40               14988
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:40               11252
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 20:12               23804
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 20:12               17147
perl-git-raw-0.90-r3.apk                           13-Mar-2025 23:23              185315
perl-git-raw-doc-0.90-r3.apk                       13-Mar-2025 23:23              120111
perl-git-repository-1.325-r0.apk                   25-Oct-2024 20:12               16736
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 20:12               32261
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 20:12                5535
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 20:12                4984
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 20:12               15410
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 20:12               22925
perl-glib-object-introspection-0.051-r1.apk        25-Oct-2024 20:12               65068
perl-glib-object-introspection-doc-0.051-r1.apk    25-Oct-2024 20:12               11326
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 20:12                7321
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 20:12                8008
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 20:12               14319
perl-gtk2-1.24993-r6.apk                           25-Oct-2024 20:12              956327
perl-gtk2-doc-1.24993-r6.apk                       25-Oct-2024 20:12              686483
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 20:12               13064
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 20:12                7472
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 20:12               67290
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 20:12               83173
perl-gtk3-0.038-r1.apk                             25-Oct-2024 20:12               20115
perl-gtk3-doc-0.038-r1.apk                         25-Oct-2024 20:12                9382
perl-guard-1.023-r9.apk                            25-Oct-2024 20:12                9146
perl-guard-doc-1.023-r9.apk                        25-Oct-2024 20:12                5400
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 20:12               10033
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 20:12               19702
perl-html-object-0.5.1-r0.apk                      25-Oct-2024 20:12              356698
perl-html-object-doc-0.5.1-r0.apk                  25-Oct-2024 20:12              483178
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 20:12                6367
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 20:12                4169
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 20:12               18101
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 20:12               10160
perl-http-thin-0.006-r0.apk                        25-Oct-2024 20:12                3159
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 20:12                3533
perl-http-xsheaders-0.400005-r1.apk                25-Oct-2024 20:12               21523
perl-http-xsheaders-doc-0.400005-r1.apk            25-Oct-2024 20:12                6467
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 20:12                4316
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 20:12                4185
perl-indirect-0.39-r1.apk                          25-Oct-2024 20:12               17083
perl-indirect-doc-0.39-r1.apk                      25-Oct-2024 20:12                6505
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 20:12               77266
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 20:12               69575
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 20:12                5896
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 20:12                3201
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 20:12                3646
perl-json-path-1.0.6-r0.apk                        25-Oct-2024 20:12               16582
perl-json-path-doc-1.0.6-r0.apk                    25-Oct-2024 20:12               12900
perl-json-validator-5.15-r0.apk                    19-Mar-2025 11:46               59548
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 11:46               34629
perl-lexical-persistence-1.023-r0.apk              26-Apr-2025 08:13                7688
perl-lexical-persistence-doc-1.023-r0.apk          26-Apr-2025 08:13                7753
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 20:12                3949
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 20:12                4052
perl-libapreq2-2.17-r2.apk                         25-Oct-2024 20:12              109206
perl-libapreq2-dev-2.17-r2.apk                     25-Oct-2024 20:12               57833
perl-libapreq2-doc-2.17-r2.apk                     25-Oct-2024 20:12               37976
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35              312243
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35              585132
perl-linux-pid-0.04-r13.apk                        25-Oct-2024 20:12                5045
perl-linux-pid-doc-0.04-r13.apk                    25-Oct-2024 20:12                3071
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 20:12               10195
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 20:12               11824
perl-list-binarysearch-xs-0.09-r1.apk              25-Oct-2024 20:12               12920
perl-list-binarysearch-xs-doc-0.09-r1.apk          25-Oct-2024 20:12                8263
perl-list-keywords-0.11-r0.apk                     25-Oct-2024 20:12               15718
perl-list-keywords-doc-0.11-r0.apk                 25-Oct-2024 20:12                5642
perl-log-fu-0.31-r4.apk                            25-Oct-2024 20:12               10760
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 20:12                7436
perl-log-message-0.08-r3.apk                       25-Oct-2024 20:12               10864
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 20:12               12445
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 20:12                4316
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 20:12                4047
perl-lv-0.006-r0.apk                               25-Oct-2024 20:12                4180
perl-lv-backend-magic-0.006-r0.apk                 25-Oct-2024 20:12                2163
perl-lv-backend-sentinel-0.006-r0.apk              25-Oct-2024 20:12                2037
perl-lv-doc-0.006-r0.apk                           25-Oct-2024 20:12                4159
perl-lwp-online-1.08-r0.apk                        25-Oct-2024 20:12                6302
perl-lwp-online-doc-1.08-r0.apk                    25-Oct-2024 20:12                5833
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 20:12                6541
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 20:12                5792
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 20:12               22590
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 20:12               33776
perl-math-int64-0.57-r1.apk                        25-Oct-2024 20:12               35649
perl-math-int64-doc-0.57-r1.apk                    25-Oct-2024 20:12               10689
perl-math-libm-1.00-r14.apk                        25-Oct-2024 20:12               11103
perl-math-libm-doc-1.00-r14.apk                    25-Oct-2024 20:12                3221
perl-math-random-0.72-r0.apk                       25-Oct-2024 20:12               39198
perl-math-random-doc-0.72-r0.apk                   25-Oct-2024 20:12               11100
perl-math-random-isaac-xs-1.004-r8.apk             25-Oct-2024 20:12                8240
perl-math-random-isaac-xs-doc-1.004-r8.apk         25-Oct-2024 20:12                3856
perl-mce-1.901-r0.apk                              03-Jan-2025 14:34              137558
perl-mce-doc-1.901-r0.apk                          03-Jan-2025 14:34              173737
perl-minion-10.31-r0.apk                           25-Oct-2024 20:12             1576803
perl-minion-backend-pg-10.31-r0.apk                25-Oct-2024 20:12                9864
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 20:12               10756
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 20:12                6740
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 20:12               10365
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 20:12                6942
perl-minion-doc-10.31-r0.apk                       25-Oct-2024 20:12               50159
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:12                3523
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:12                3866
perl-module-generic-0.43.3-r0.apk                  23-Apr-2025 21:27              279016
perl-module-generic-doc-0.43.3-r0.apk              23-Apr-2025 21:27              219524
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 20:12                4847
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 20:12                4489
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 20:12               25787
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 20:12               25202
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 20:12               16341
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 20:12               19827
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 11:46               29048
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 11:46               34267
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 04:04                4185
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 04:04                4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:04                5567
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:04                5469
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 04:04               13949
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 04:04               25313
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 11:46                8760
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 11:46               22581
perl-moosex-object-pluggable-0.0014-r0.apk         21-Apr-2025 17:33                6127
perl-moosex-object-pluggable-doc-0.0014-r0.apk     21-Apr-2025 17:33                5630
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 14:08                7910
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 14:08               24066
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 04:04                3880
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 04:04                4256
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 14:08                4101
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 14:08                4214
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 14:08                3118
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 14:08                3668
perl-multidimensional-0.014-r0.apk                 25-Oct-2024 20:12                5057
perl-multidimensional-doc-0.014-r0.apk             25-Oct-2024 20:12                3177
perl-musicbrainz-discid-0.06-r1.apk                25-Oct-2024 20:12                9875
perl-musicbrainz-discid-doc-0.06-r1.apk            25-Oct-2024 20:12                4386
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 20:12                3533
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 20:12                3581
perl-net-amqp-rabbitmq-2.40012-r0.apk              25-Oct-2024 20:12               86208
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk          25-Oct-2024 20:12                9919
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22               59837
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22               67476
perl-net-async-redis-xs-1.001-r1.apk               25-Oct-2024 20:12               10073
perl-net-async-redis-xs-doc-1.001-r1.apk           25-Oct-2024 20:12                5449
perl-net-curl-0.57-r0.apk                          22-Jan-2025 14:58               63384
perl-net-curl-doc-0.57-r0.apk                      22-Jan-2025 14:58               40034
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 20:12                9083
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 20:12                2793
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 20:12               11929
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 20:12                3047
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 20:12                3189
perl-net-idn-encode-2.500-r1.apk                   25-Oct-2024 20:12               85870
perl-net-idn-encode-doc-2.500-r1.apk               25-Oct-2024 20:12               22244
perl-net-irr-0.10-r0.apk                           25-Oct-2024 20:12                5595
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 20:12                5289
perl-net-jabber-2.0-r0.apk                         25-Oct-2024 20:12               51841
perl-net-jabber-bot-2.1.7-r0.apk                   25-Oct-2024 20:12               13819
perl-net-jabber-bot-doc-2.1.7-r0.apk               25-Oct-2024 20:12                7768
perl-net-jabber-doc-2.0-r0.apk                     25-Oct-2024 20:12               49516
perl-net-netmask-2.0002-r2.apk                     25-Oct-2024 20:12               14166
perl-net-netmask-doc-2.0002-r2.apk                 25-Oct-2024 20:12                8749
perl-net-patricia-1.22-r12.apk                     25-Oct-2024 20:12               22605
perl-net-patricia-doc-1.22-r12.apk                 25-Oct-2024 20:12                6187
perl-net-xmpp-1.05-r0.apk                          25-Oct-2024 20:12               59048
perl-net-xmpp-doc-1.05-r0.apk                      25-Oct-2024 20:12               44690
perl-netaddr-mac-0.98-r1.apk                       25-Oct-2024 20:12               11102
perl-netaddr-mac-doc-0.98-r1.apk                   25-Oct-2024 20:12                8184
perl-nice-try-1.3.15-r0.apk                        07-Nov-2024 02:16               28181
perl-nice-try-doc-1.3.15-r0.apk                    07-Nov-2024 02:16               12409
perl-number-format-1.76-r1.apk                     25-Oct-2024 20:12               15621
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 20:12                9227
perl-number-misc-1.2-r5.apk                        25-Oct-2024 20:12                5321
perl-number-misc-doc-1.2-r5.apk                    25-Oct-2024 20:12                4498
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 20:12               15195
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 20:12               26256
perl-object-array-0.060-r0.apk                     25-Oct-2024 20:12                5831
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 20:12                7130
perl-object-pad-fieldattr-checked-0.12-r0.apk      25-Oct-2024 20:12                9131
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk  25-Oct-2024 20:12                4572
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:57                3764
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:57                5541
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 20:12                8855
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 20:12                7532
perl-opentracing-1.006-r0.apk                      25-Oct-2024 20:12               18421
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 20:12               33513
perl-pango-1.227-r11.apk                           25-Oct-2024 20:12               89029
perl-pango-doc-1.227-r11.apk                       25-Oct-2024 20:12               82819
perl-path-iter-0.2-r3.apk                          25-Oct-2024 20:12                5346
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 20:12                5279
perl-perlio-locale-0.10-r12.apk                    25-Oct-2024 20:12                4728
perl-perlio-locale-doc-0.10-r12.apk                25-Oct-2024 20:12                3120
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 20:12                3971
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 20:12                3432
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36                3140
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 11:46                3726
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 11:46                4142
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02                2562
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02                3153
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 20:12                3244
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:12                3129
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 11:46                2876
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 11:46                3205
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 20:12                4679
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 20:12                5012
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 20:12               10633
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 20:12               10806
perl-ppi-xs-0.910-r1.apk                           25-Oct-2024 20:12                6056
perl-ppi-xs-doc-0.910-r1.apk                       25-Oct-2024 20:12                3450
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 20:12                3782
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 20:12                3628
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 20:12               10977
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 20:12                2574
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 20:12               12365
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 20:12                2357
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 20:12                3035
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 20:12                2648
perl-promise-me-0.5.0-r0.apk                       25-Oct-2024 20:12               26183
perl-promise-me-doc-0.5.0-r0.apk                   25-Oct-2024 20:12               12296
perl-promise-xs-0.20-r1.apk                        25-Oct-2024 20:12               26437
perl-promise-xs-doc-0.20-r1.apk                    25-Oct-2024 20:12                8878
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 20:12               19624
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:12               36700
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 20:12                5750
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 20:12                5154
perl-protocol-redis-faster-0.003-r0.apk            25-Oct-2024 20:12                3515
perl-protocol-redis-faster-doc-0.003-r0.apk        25-Oct-2024 20:12                3409
perl-ref-util-xs-0.117-r8.apk                      25-Oct-2024 20:12               11067
perl-ref-util-xs-doc-0.117-r8.apk                  25-Oct-2024 20:12                3482
perl-regexp-grammars-1.058-r0.apk                  25-Oct-2024 20:12               67172
perl-regexp-grammars-doc-1.058-r0.apk              25-Oct-2024 20:12               48965
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 20:12                3761
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 20:12                4049
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 20:12               26913
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 20:12                2802
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 20:12                9181
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 20:12               23118
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 20:12                2901
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 20:12                9223
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 20:12                2913
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 20:12                9278
perl-ryu-4.001-r0.apk                              25-Oct-2024 20:12               26689
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 20:12                7669
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 20:12               12101
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 20:12               36244
perl-scalar-readonly-0.03-r1.apk                   25-Oct-2024 20:12                5590
perl-scalar-readonly-doc-0.03-r1.apk               25-Oct-2024 20:12                3458
perl-sentinel-0.07-r1.apk                          25-Oct-2024 20:12                7794
perl-sentinel-doc-0.07-r1.apk                      25-Oct-2024 20:12                4282
perl-session-storage-secure-1.000-r2.apk           25-Oct-2024 20:12                9043
perl-session-storage-secure-doc-1.000-r2.apk       25-Oct-2024 20:12                7585
perl-signature-attribute-checked-0.06-r0.apk       25-Oct-2024 20:12                8231
perl-signature-attribute-checked-doc-0.06-r0.apk   25-Oct-2024 20:12                4678
perl-snmp-5.0404-r13.apk                           25-Oct-2024 20:12               76176
perl-snmp-doc-5.0404-r13.apk                       25-Oct-2024 20:12               14331
perl-snmp-info-3.972002-r0.apk                     30-Oct-2024 06:00              340713
perl-snmp-info-doc-3.972002-r0.apk                 30-Oct-2024 06:00              387942
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 20:12              112864
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 20:12               92637
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 20:12                8883
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 20:12                5596
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 20:12                3834
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 20:12                4246
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 20:12               30224
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 20:12               20716
perl-sql-abstract-more-1.43-r0.apk                 30-Dec-2024 06:37               28111
perl-sql-abstract-more-doc-1.43-r0.apk             30-Dec-2024 06:37               16939
perl-starman-0.4017-r0.apk                         25-Oct-2024 20:12               13760
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 20:12               10247
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 20:12                9439
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 20:12               50978
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 20:12               31027
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 20:12               38404
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 20:12                6864
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 20:12                7077
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 20:12                3268
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 20:12                3549
perl-string-compare-constanttime-0.321-r6.apk      25-Oct-2024 20:12                7665
perl-string-compare-constanttime-doc-0.321-r6.apk  25-Oct-2024 20:12                5342
perl-string-crc32-2.100-r4.apk                     25-Oct-2024 20:12                7554
perl-string-crc32-doc-2.100-r4.apk                 25-Oct-2024 20:12                3453
perl-string-escape-2010.002-r0.apk                 29-Mar-2025 15:58                9084
perl-string-escape-doc-2010.002-r0.apk             29-Mar-2025 15:58                8020
perl-string-random-0.32-r2.apk                     25-Oct-2024 20:12                8104
perl-string-random-doc-0.32-r2.apk                 25-Oct-2024 20:12                6348
perl-syntax-keyword-match-0.15-r0.apk              25-Oct-2024 20:12               14629
perl-syntax-keyword-match-doc-0.15-r0.apk          25-Oct-2024 20:12                7949
perl-syntax-operator-equ-0.10-r0.apk               25-Oct-2024 20:12                8530
perl-syntax-operator-equ-doc-0.10-r0.apk           25-Oct-2024 20:12                6677
perl-syntax-operator-in-0.10-r0.apk                25-Oct-2024 20:12               10262
perl-syntax-operator-in-doc-0.10-r0.apk            25-Oct-2024 20:12                5981
perl-sys-syscall-0.25-r10.apk                      25-Oct-2024 20:12                5476
perl-sys-syscall-doc-0.25-r10.apk                  25-Oct-2024 20:12                3778
perl-sys-virt-11.1.0-r0.apk                        21-Mar-2025 11:43              211043
perl-sys-virt-doc-11.1.0-r0.apk                    21-Mar-2025 11:43              101189
perl-system-command-1.122-r0.apk                   25-Oct-2024 20:12               12122
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 20:12               10440
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 20:12                2777
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 20:12                3111
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 20:12                5026
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:12                4508
perl-template-timer-1.00-r0.apk                    12-Apr-2025 15:51                3511
perl-template-timer-doc-1.00-r0.apk                12-Apr-2025 15:51                3743
perl-template-tiny-1.14-r0.apk                     15-Dec-2024 10:09                5291
perl-template-tiny-doc-1.14-r0.apk                 15-Dec-2024 10:09                4804
perl-term-size-0.211-r4.apk                        25-Oct-2024 20:12                6130
perl-term-size-doc-0.211-r4.apk                    25-Oct-2024 20:12                3830
perl-term-ui-0.50-r1.apk                           25-Oct-2024 20:12               10227
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 20:12                8706
perl-test-api-0.010-r2.apk                         25-Oct-2024 20:12                5213
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 20:12                4357
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 20:12                6015
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 20:12                5567
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 20:12                3673
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 20:12                4281
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 20:12                7945
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 20:12                6230
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 20:12                7284
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 20:12               20559
perl-test-expect-0.34-r0.apk                       21-Apr-2025 17:35                3550
perl-test-expect-doc-0.34-r0.apk                   21-Apr-2025 17:35                3660
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:54               11687
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:54                6958
perl-test-files-0.26-r0.apk                        25-Oct-2024 20:12                6896
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 20:12               14951
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 20:12               10067
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 20:12                8550
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 20:12                6571
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 20:12                5412
perl-test-modern-0.013-r3.apk                      25-Oct-2024 20:12               14977
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 20:12               10108
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 20:12                3609
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 20:12                3766
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 20:12                4904
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 20:12                4513
perl-test-roo-1.004-r3.apk                         25-Oct-2024 20:12               12204
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 20:12               15843
perl-test-settings-0.003-r0.apk                    25-Oct-2024 20:12                5064
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 20:12                6188
perl-test-timer-2.12-r2.apk                        25-Oct-2024 20:12                9101
perl-test-timer-doc-2.12-r2.apk                    25-Oct-2024 20:12                8594
perl-test-toolbox-0.4-r5.apk                       25-Oct-2024 20:12               10097
perl-test-toolbox-doc-0.4-r5.apk                   25-Oct-2024 20:12                6324
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 20:12               20251
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 20:12               20385
perl-test-unit-0.27-r0.apk                         25-Oct-2024 20:12               37729
perl-test-unit-doc-0.27-r0.apk                     25-Oct-2024 20:12               49465
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 20:12                3914
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 20:12                3960
perl-test-utf8-1.03-r0.apk                         16-Nov-2024 15:11                5709
perl-test-utf8-doc-1.03-r0.apk                     16-Nov-2024 15:11                4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 14:57               15170
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32                7533
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32                6373
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 14:57               10325
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 20:12                3905
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 20:12                4542
perl-text-brew-0.02-r5.apk                         25-Oct-2024 20:12                4643
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 20:12                4238
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 11:46                4569
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 11:46                3535
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 20:12                8266
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 20:12                6810
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 20:12                5486
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 20:12                5347
perl-throwable-1.001-r1.apk                        25-Oct-2024 20:12                6368
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 20:12                8199
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 20:12                4027
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 20:12                3494
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:12                4740
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:12                3941
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 20:12                4809
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 20:12                4255
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 20:12                7355
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 20:12                7087
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 20:12                8198
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 20:12                6704
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 11:46                2666
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 11:46                3246
perl-time-moment-0.44-r0.apk                       25-Oct-2024 20:12               48468
perl-time-moment-doc-0.44-r0.apk                   25-Oct-2024 20:12               31595
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 20:12                2814
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 20:12                3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 20:12                3647
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 20:12                4081
perl-time-timegm-0.01-r9.apk                       25-Oct-2024 20:12                6978
perl-time-timegm-doc-0.01-r9.apk                   25-Oct-2024 20:12                3897
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 11:46               20387
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 11:46               47285
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 20:12                4050
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 20:12                4196
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:27               11151
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:27                8553
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 20:12                7227
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 20:12                7702
perl-uri-find-20160806-r0.apk                      23-Mar-2025 07:58               13946
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 07:58                9343
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 20:12                4105
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 20:12                3996
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 20:12                3261
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 20:12                4683
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 20:12                2777
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 20:12                5077
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 11:46                2398
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 11:46                4431
perl-url-encode-0.03-r4.apk                        25-Oct-2024 20:12                5276
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 20:12                4815
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 20:12                3350
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 20:12                5790
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 20:12                7033
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 20:12                7776
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 20:12               20082
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 20:12               16292
perl-xml-bare-0.53-r13.apk                         25-Oct-2024 20:12               29545
perl-xml-bare-doc-0.53-r13.apk                     25-Oct-2024 20:12               11659
perl-xml-feed-0.65-r0.apk                          25-Oct-2024 20:12               14183
perl-xml-feed-doc-0.65-r0.apk                      25-Oct-2024 20:12               12694
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 20:12                5091
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 20:12                5546
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 20:12                5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 20:12                4977
perl-xml-stream-1.24-r0.apk                        25-Oct-2024 20:12               45100
perl-xml-stream-doc-1.24-r0.apk                    25-Oct-2024 20:12               18086
persistent-cache-cpp-1.0.7-r4.apk                  17-Feb-2025 09:34               52124
persistent-cache-cpp-dev-1.0.7-r4.apk              17-Feb-2025 09:34               18206
persistent-cache-cpp-doc-1.0.7-r4.apk              17-Feb-2025 09:34                3212
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 20:12             1117193
pfetch-1.9.0-r0.apk                                11-Apr-2025 18:13               23720
pfetch-doc-1.9.0-r0.apk                            11-Apr-2025 18:13                5861
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:38             2757182
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:38                1921
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 20:12             4138368
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 20:12                1816
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 20:12              294378
php81-8.1.32-r1.apk                                29-Mar-2025 15:58             1929839
php81-apache2-8.1.32-r1.apk                        29-Mar-2025 15:58             1899683
php81-bcmath-8.1.32-r1.apk                         29-Mar-2025 15:58               17658
php81-bz2-8.1.32-r1.apk                            29-Mar-2025 15:58               10844
php81-calendar-8.1.32-r1.apk                       29-Mar-2025 15:58               13126
php81-cgi-8.1.32-r1.apk                            29-Mar-2025 15:58             1906850
php81-common-8.1.32-r1.apk                         29-Mar-2025 15:58               25703
php81-ctype-8.1.32-r1.apk                          29-Mar-2025 15:58                5211
php81-curl-8.1.32-r1.apk                           29-Mar-2025 15:58               39386
php81-dba-8.1.32-r1.apk                            29-Mar-2025 15:58               25573
php81-dev-8.1.32-r1.apk                            29-Mar-2025 15:58              961285
php81-doc-8.1.32-r1.apk                            29-Mar-2025 15:58               69560
php81-dom-8.1.32-r1.apk                            29-Mar-2025 15:58               67068
php81-embed-8.1.32-r1.apk                          29-Mar-2025 15:58             1891505
php81-enchant-8.1.32-r1.apk                        29-Mar-2025 15:58                9062
php81-exif-8.1.32-r1.apk                           29-Mar-2025 15:58               31172
php81-ffi-8.1.32-r1.apk                            29-Mar-2025 15:58               70256
php81-fileinfo-8.1.32-r1.apk                       29-Mar-2025 15:58              392940
php81-fpm-8.1.32-r1.apk                            29-Mar-2025 15:58             1982272
php81-ftp-8.1.32-r1.apk                            29-Mar-2025 15:58               25104
php81-gd-8.1.32-r1.apk                             29-Mar-2025 15:58              138629
php81-gettext-8.1.32-r1.apk                        29-Mar-2025 15:58                6381
php81-gmp-8.1.32-r1.apk                            29-Mar-2025 15:58               21861
php81-iconv-8.1.32-r1.apk                          29-Mar-2025 15:58               18801
php81-imap-8.1.32-r1.apk                           29-Mar-2025 15:58               35965
php81-intl-8.1.32-r1.apk                           29-Mar-2025 15:58              154118
php81-ldap-8.1.32-r1.apk                           29-Mar-2025 15:58               34374
php81-litespeed-8.1.32-r1.apk                      29-Mar-2025 15:58             1917358
php81-mbstring-8.1.32-r1.apk                       29-Mar-2025 15:58              589018
php81-mysqli-8.1.32-r1.apk                         29-Mar-2025 15:58               43058
php81-mysqlnd-8.1.32-r1.apk                        29-Mar-2025 15:58               86184
php81-odbc-8.1.32-r1.apk                           29-Mar-2025 15:58               25984
php81-opcache-8.1.32-r1.apk                        29-Mar-2025 15:58              358517
php81-openssl-8.1.32-r1.apk                        29-Mar-2025 15:58               82192
php81-pcntl-8.1.32-r1.apk                          29-Mar-2025 15:58               14661
php81-pdo-8.1.32-r1.apk                            29-Mar-2025 15:58               44847
php81-pdo_dblib-8.1.32-r1.apk                      29-Mar-2025 15:58               12994
php81-pdo_mysql-8.1.32-r1.apk                      29-Mar-2025 15:58               14251
php81-pdo_odbc-8.1.32-r1.apk                       29-Mar-2025 15:58               13776
php81-pdo_pgsql-8.1.32-r1.apk                      29-Mar-2025 15:58               21159
php81-pdo_sqlite-8.1.32-r1.apk                     29-Mar-2025 15:58               14210
php81-pear-8.1.32-r1.apk                           29-Mar-2025 15:58              345911
php81-pecl-amqp-2.1.2-r0.apk                       25-Oct-2024 20:12               52117
php81-pecl-apcu-5.1.24-r0.apk                      25-Oct-2024 20:12               57775
php81-pecl-ast-1.1.2-r0.apk                        25-Oct-2024 20:12               19879
php81-pecl-brotli-0.16.0-r0.apk                    22-Apr-2025 05:36               12903
php81-pecl-couchbase-4.2.7-r0.apk                  20-Mar-2025 03:18             5362283
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10065
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 20:12               19401
php81-pecl-ds-1.5.0-r0.apk                         25-Oct-2024 20:12               63212
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 20:12               52125
php81-pecl-grpc-1.71.0-r0.apk                      12-Mar-2025 06:43             5702645
php81-pecl-igbinary-3.2.16-r0.apk                  25-Oct-2024 20:12               33646
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12               43106
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12               10960
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 20:12               32187
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 20:12                7539
php81-pecl-mailparse-3.1.8-r0.apk                  25-Oct-2024 20:12               24730
php81-pecl-maxminddb-1.12.0-r0.apk                 15-Nov-2024 16:17                8405
php81-pecl-mcrypt-1.0.7-r0.apk                     25-Oct-2024 20:12               15077
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 20:12               43889
php81-pecl-mongodb-2.0.0-r0.apk                    11-Apr-2025 18:13              846602
php81-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12               35841
php81-pecl-opentelemetry-1.1.2-r0.apk              23-Jan-2025 03:50               13062
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17                9732
php81-pecl-protobuf-4.30.0-r0.apk                  05-Mar-2025 18:38              150125
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 20:12               17278
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:52               37562
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:02               21396
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 20:12               27689
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06              194014
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 20:12                6821
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 20:12               10163
php81-pecl-uuid-1.2.1-r0.apk                       25-Oct-2024 20:12                6693
php81-pecl-xdebug-3.4.2-r0.apk                     09-Mar-2025 18:38              146530
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 20:12               12961
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 20:12              819824
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44              234296
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 20:12               38386
php81-pecl-yaml-2.2.4-r0.apk                       25-Oct-2024 20:12               19244
php81-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25               58086
php81-pecl-zstd-0.14.0-r0.apk                      06-Nov-2024 14:44               14511
php81-pgsql-8.1.32-r1.apk                          29-Mar-2025 15:58               49064
php81-phar-8.1.32-r1.apk                           29-Mar-2025 15:58              133213
php81-phpdbg-8.1.32-r1.apk                         29-Mar-2025 15:58             1982763
php81-posix-8.1.32-r1.apk                          29-Mar-2025 15:58               12000
php81-pspell-8.1.32-r1.apk                         29-Mar-2025 15:58                8576
php81-session-8.1.32-r1.apk                        29-Mar-2025 15:58               40107
php81-shmop-8.1.32-r1.apk                          29-Mar-2025 15:58                6441
php81-simplexml-8.1.32-r1.apk                      29-Mar-2025 15:58               25194
php81-snmp-8.1.32-r1.apk                           29-Mar-2025 15:58               22873
php81-soap-8.1.32-r1.apk                           29-Mar-2025 15:58              148830
php81-sockets-8.1.32-r1.apk                        29-Mar-2025 15:58               39217
php81-sodium-8.1.32-r1.apk                         29-Mar-2025 15:58               27327
php81-sqlite3-8.1.32-r1.apk                        29-Mar-2025 15:58               22836
php81-sysvmsg-8.1.32-r1.apk                        29-Mar-2025 15:58                8306
php81-sysvsem-8.1.32-r1.apk                        29-Mar-2025 15:58                6125
php81-sysvshm-8.1.32-r1.apk                        29-Mar-2025 15:58                7087
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 20:12               14519
php81-tidy-8.1.32-r1.apk                           29-Mar-2025 15:58               20568
php81-tokenizer-8.1.32-r1.apk                      29-Mar-2025 15:58               12153
php81-xml-8.1.32-r1.apk                            29-Mar-2025 15:58               20456
php81-xmlreader-8.1.32-r1.apk                      29-Mar-2025 15:58               14281
php81-xmlwriter-8.1.32-r1.apk                      29-Mar-2025 15:58               12162
php81-xsl-8.1.32-r1.apk                            29-Mar-2025 15:58               14185
php81-zip-8.1.32-r1.apk                            29-Mar-2025 15:58               28852
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12                4724
php82-pecl-excimer-1.2.3-r0.apk                    04-Dec-2024 01:49               21593
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12               43319
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12               10954
php82-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12               36024
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 20:12               30639
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 20:12              128740
php82-pecl-vld-0.18.0-r0.apk                       25-Oct-2024 20:12               17038
php82-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25               58116
php82-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13                5527
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12                4717
php83-pecl-eio-3.1.3-r0.apk                        25-Oct-2024 20:12               29387
php83-pecl-ev-1.2.0-r0.apk                         25-Oct-2024 20:12               42731
php83-pecl-excimer-1.2.3-r0.apk                    04-Dec-2024 01:49               21603
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12               10952
php83-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12               36073
php83-pecl-phpy-1.0.11-r0.apk                      06-Mar-2025 22:29               44671
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 20:12               50541
php83-pecl-vld-0.18.0-r1.apk                       25-Oct-2024 20:12               15290
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 20:12               32312
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45               10137
php84-pecl-ev-1.2.0-r1.apk                         25-Oct-2024 20:12               42759
php84-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12               36096
php84-pecl-phpy-1.0.11-r0.apk                      06-Mar-2025 22:29               44701
php84-pecl-solr-2.8.1-r0.apk                       23-Apr-2025 21:27               89290
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47               50532
php84-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13                5528
phpactor-2024.06.30.0-r0.apk                       25-Oct-2024 20:12             3980276
pick-4.0.0-r0.apk                                  25-Oct-2024 20:12                9926
pick-doc-4.0.0-r0.apk                              25-Oct-2024 20:12                3405
pict-rs-0.5.16-r1.apk                              25-Oct-2024 20:12             5679428
pict-rs-openrc-0.5.16-r1.apk                       25-Oct-2024 20:12                1920
pidif-0.1-r1.apk                                   25-Oct-2024 20:12              168658
piglit-0_git20241106-r0.apk                        08-Nov-2024 06:18            93284717
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 20:12               92871
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 20:12               58163
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 20:12               20152
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 20:12                1898
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 20:12               35590
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 20:12                1664
pinentry-bemenu-0.14.0-r0.apk                      21-Feb-2025 19:35                8842
pipectl-0.4.1-r1.apk                               25-Oct-2024 20:12                6604
pipectl-doc-0.4.1-r1.apk                           25-Oct-2024 20:12                3083
piping-server-0.18.0-r0.apk                        25-Oct-2024 20:12             1335289
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 20:12                1838
pithos-1.6.1-r0.apk                                25-Oct-2024 20:12              106965
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 20:12                2143
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 20:12              157985
pitivi-2023.03-r2.apk                              22-Dec-2024 22:04             2810588
pitivi-lang-2023.03-r2.apk                         22-Dec-2024 22:04              694551
pitivi-pyc-2023.03-r2.apk                          22-Dec-2024 22:04              716962
pixi-0.24.2-r0.apk                                 25-Oct-2024 20:12             9051098
pixi-bash-completion-0.24.2-r0.apk                 25-Oct-2024 20:12                7326
pixi-doc-0.24.2-r0.apk                             25-Oct-2024 20:12                7035
pixi-fish-completion-0.24.2-r0.apk                 25-Oct-2024 20:12               10319
pixi-zsh-completion-0.24.2-r0.apk                  25-Oct-2024 20:12               10680
pixiewps-1.4.2-r1.apk                              25-Oct-2024 20:12               41615
pixiewps-doc-1.4.2-r1.apk                          25-Oct-2024 20:12                3467
planarity-4.0.0.0-r0.apk                           16-Mar-2025 10:06               26210
planarity-dev-4.0.0.0-r0.apk                       16-Mar-2025 10:06               23550
planarity-doc-4.0.0.0-r0.apk                       16-Mar-2025 10:06               12869
planarity-libs-4.0.0.0-r0.apk                      16-Mar-2025 10:06               81643
planner-0.14.92-r1.apk                             08-Dec-2024 21:39              366680
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:39                2205
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:39              844372
platformio-core-6.1.7-r3.apk                       25-Oct-2024 20:12              268803
platformio-core-pyc-6.1.7-r3.apk                   25-Oct-2024 20:12              565023
please-0.5.5-r0.apk                                25-Oct-2024 20:12             1029780
please-doc-0.5.5-r0.apk                            25-Oct-2024 20:12               16216
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47               13216
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47                6634
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47               39696
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47               46680
plib-1.8.5-r3.apk                                  25-Oct-2024 20:12              873572
plots-0.7.0-r1.apk                                 06-Nov-2024 09:41              528095
plplot-5.15.0-r2.apk                               25-Oct-2024 20:12               32023
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 20:12               60406
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 20:12              318028
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 20:12              220974
plzip-1.12-r0.apk                                  15-Jan-2025 08:35               53130
plzip-doc-1.12-r0.apk                              15-Jan-2025 08:35               17192
pmccabe-2.8-r1.apk                                 25-Oct-2024 20:12               22797
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 20:12                7319
pnmixer-0.7.2-r3.apk                               25-Oct-2024 20:12              145612
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 20:12                2357
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 20:12               25401
poke-4.3-r0.apk                                    19-Mar-2025 11:46             1221526
poke-doc-4.3-r0.apk                                19-Mar-2025 11:46              205729
pokoy-0.2.5-r0.apk                                 25-Oct-2024 20:12               11396
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 20:12                3064
policycoreutils-3.6-r1.apk                         25-Oct-2024 20:12               54577
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 20:12                2472
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 20:12               22855
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 20:12              107924
polyglot-2.0.4-r1.apk                              25-Oct-2024 20:12               67143
polyglot-doc-2.0.4-r1.apk                          25-Oct-2024 20:12               48742
pomo-0.8.1-r22.apk                                 12-Apr-2025 03:09             1771701
pomo-doc-0.8.1-r22.apk                             12-Apr-2025 03:09                2797
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 20:12                2425
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 07:55            13437925
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 07:55             1333957
popeye-0.22.1-r3.apk                               12-Apr-2025 03:09            30824236
porla-0.41.0-r2.apk                                24-Feb-2025 15:10             3827997
porla-doc-0.41.0-r2.apk                            24-Feb-2025 15:10                2245
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 15:10                2751
portsmf-239-r1.apk                                 25-Oct-2024 20:12               64680
portsmf-dev-239-r1.apk                             25-Oct-2024 20:12               20767
postgresql-pg_graphql-1.5.9-r0.apk                 25-Dec-2024 17:35              615974
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 20:12              637406
postgresql-pg_partman-5.0.0-r0.apk                 25-Oct-2024 20:12              994658
postgresql-pg_partman-bitcode-5.0.0-r0.apk         25-Oct-2024 20:12               22430
postgresql-pg_partman-doc-5.0.0-r0.apk             25-Oct-2024 20:12               48527
postgresql-pg_partman-scripts-5.0.0-r0.apk         25-Oct-2024 20:12                7894
postgresql-pg_variables-1.2.5_git20230922-r0.apk   25-Oct-2024 20:12               26603
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 20:12               53525
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 20:12              269914
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 20:12               73976
pounce-3.1-r3.apk                                  25-Oct-2024 20:12               29608
pounce-doc-3.1-r3.apk                              25-Oct-2024 20:12                8764
pounce-openrc-3.1-r3.apk                           25-Oct-2024 20:12                2940
powder-toy-97.0.352-r1.apk                         25-Oct-2024 20:12              868320
powerstat-0.04.01-r0.apk                           25-Oct-2024 20:12               20965
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 20:12                2349
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 20:12                4366
ppl-1.2-r1.apk                                     25-Oct-2024 20:12               41402
ppl-dev-1.2-r1.apk                                 25-Oct-2024 20:12              622052
ppl-doc-1.2-r1.apk                                 25-Oct-2024 20:12             9529616
pptpclient-1.10.0-r5.apk                           25-Oct-2024 20:12               33944
pptpclient-doc-1.10.0-r5.apk                       25-Oct-2024 20:12                7353
pqiv-2.12-r1.apk                                   25-Oct-2024 20:12               73571
pqiv-doc-2.12-r1.apk                               25-Oct-2024 20:12               12316
predict-2.3.1-r0.apk                               23-Nov-2024 19:05              110254
predict-doc-2.3.1-r0.apk                           23-Nov-2024 19:05               16471
primecount-7.15-r0.apk                             22-Mar-2025 14:42               32700
primecount-dev-7.15-r0.apk                         22-Mar-2025 14:42             2136310
primecount-doc-7.15-r0.apk                         22-Mar-2025 14:42                3911
primecount-libs-7.15-r0.apk                        22-Mar-2025 14:42              156240
primesieve-12.7-r0.apk                             22-Mar-2025 14:42               52303
primesieve-dev-12.7-r0.apk                         22-Mar-2025 14:42             1371298
primesieve-doc-12.7-r0.apk                         22-Mar-2025 14:42                4099
primesieve-libs-12.7-r0.apk                        22-Mar-2025 14:42              140283
prjtrellis-1.4-r2.apk                              25-Oct-2024 20:12             1355537
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 20:12                3380
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 20:12             2236787
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 20:12               40145
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 20:12             1037688
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 20:12             1117821
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 20:12              765693
projectm-3.1.12-r2.apk                             25-Oct-2024 20:12              472924
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 20:12              619189
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 20:12             4561744
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 20:12              444405
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 20:12                2051
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 20:12              346689
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 20:12                5067
prometheus-bind-exporter-0.8.0-r0.apk              15-Apr-2025 20:43             5404335
prometheus-bind-exporter-openrc-0.8.0-r0.apk       15-Apr-2025 20:43                1926
prometheus-ipmi-exporter-1.8.0-r4.apk              12-Apr-2025 03:09             4737487
prometheus-ipmi-exporter-doc-1.8.0-r4.apk          12-Apr-2025 03:09                6772
prometheus-ipmi-exporter-openrc-1.8.0-r4.apk       12-Apr-2025 03:09                1991
prometheus-opnsense-exporter-0.0.7-r2.apk          12-Apr-2025 03:09             5277627
prometheus-opnsense-exporter-openrc-0.0.7-r2.apk   12-Apr-2025 03:09                2144
prometheus-podman-exporter-1.15.0-r2.apk           12-Apr-2025 03:09            16657393
prometheus-rethinkdb-exporter-1.0.1-r27.apk        12-Apr-2025 03:09             4600427
prometheus-rethinkdb-exporter-openrc-1.0.1-r27.apk 12-Apr-2025 03:09                1707
prometheus-smartctl-exporter-0.13.0-r4.apk         12-Apr-2025 03:09             5088075
prometheus-smartctl-exporter-openrc-0.13.0-r4.apk  12-Apr-2025 03:09                1943
prometheus-smokeping-prober-0.7.1-r11.apk          12-Apr-2025 03:09             5032128
prometheus-smokeping-prober-openrc-0.7.1-r11.apk   12-Apr-2025 03:09                2051
prometheus-unbound-exporter-0.4.6-r4.apk           12-Apr-2025 03:09             4066929
prometheus-unbound-exporter-openrc-0.4.6-r4.apk    12-Apr-2025 03:09                2004
proot-5.4.0-r1.apk                                 25-Oct-2024 20:12               81407
proot-doc-5.4.0-r1.apk                             25-Oct-2024 20:12               10547
proot-static-5.4.0-r1.apk                          25-Oct-2024 20:12              119361
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 20:12                2881
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 20:12                1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 20:12                2703
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:12                1808
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 20:12                3184
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 20:12                1893
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:12                2049
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 20:12                7387
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 20:12                3348
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 20:12                2901
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:12                2960
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 20:12                2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 20:12                1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:12                2236
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 20:12                6023
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 20:12                5687
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:12                7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 20:12                3809
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 20:12              105989
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:12                2771
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 20:12                2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 20:12                1753
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:12                2064
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 20:12                1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 20:12                2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 20:12                8787
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 20:12                2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:12                2072
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 20:12                2726
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 20:12                2700
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 20:12                1488
protoc-gen-go-1.36.5-r1.apk                        12-Apr-2025 03:09             2467091
protoconf-0.1.7-r12.apk                            12-Apr-2025 03:09             8287554
psftools-1.1.2-r0.apk                              25-Oct-2024 20:12              194926
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 20:12               44148
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 20:12               61072
psi-notify-1.3.1-r0.apk                            25-Oct-2024 20:12               12053
psi-plus-1.5.1965-r0.apk                           25-Oct-2024 20:12             9350123
psi-plus-plugins-1.5.1965-r0.apk                   25-Oct-2024 20:12             1893534
pspp-2.0.1-r0.apk                                  25-Oct-2024 20:12             9881894
pspp-dbg-2.0.1-r0.apk                              25-Oct-2024 20:12             4128673
pspp-doc-2.0.1-r0.apk                              25-Oct-2024 20:12                9229
psst-0_git20240526-r1.apk                          25-Oct-2024 20:12             7734699
ptpd-2.3.1-r1.apk                                  25-Oct-2024 20:12              184663
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 20:12               20768
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 20:12                2448
ptylie-0.2-r1.apk                                  25-Oct-2024 20:12               12512
ptylie-doc-0.2-r1.apk                              25-Oct-2024 20:12                3230
pully-1.0.0-r0.apk                                 25-Oct-2024 20:12                2584
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 20:12                1759
pulsar-client-cpp-3.1.2-r5.apk                     11-Apr-2025 18:13             1451116
pulsar-client-cpp-dev-3.1.2-r5.apk                 11-Apr-2025 18:13               54045
pulseview-0.4.2-r8.apk                             25-Oct-2024 20:12             1031963
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 20:12                3746
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 20:12               90079
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 20:12              233886
pw-volume-0.5.0-r1.apk                             25-Oct-2024 20:12              337188
pwauth-2.3.11-r2.apk                               25-Oct-2024 20:12                4205
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 20:12                6964
pxalarm-3.0.0-r0.apk                               25-Oct-2024 20:12                2950
pxmenu-1.0.0-r1.apk                                25-Oct-2024 20:12                2948
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 20:12               17689
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12               22002
py3-aesedb-0.1.6-r2.apk                            25-Oct-2024 20:12               38139
py3-aesedb-pyc-0.1.6-r2.apk                        25-Oct-2024 20:12               77524
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 11:46               19298
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 11:46               21794
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 20:12               30021
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 20:12               61834
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 20:12              456617
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 20:12               52416
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 20:12               12684
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 20:12                9452
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28               10265
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28               19310
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 20:12               10686
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 20:12               15149
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 20:12               21318
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 20:12               19594
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 20:12               30293
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 20:12               16851
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 20:12               24498
py3-aiosmb-0.4.11-r0.apk                           25-Oct-2024 20:12              619081
py3-aiosmb-pyc-0.4.11-r0.apk                       25-Oct-2024 20:12             1133555
py3-aiowinreg-0.0.12-r0.apk                        25-Oct-2024 20:12               23651
py3-aiowinreg-pyc-0.0.12-r0.apk                    25-Oct-2024 20:12               46524
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 20:12              396983
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 20:12               18780
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 20:12              689275
py3-allfiles-1.0-r8.apk                            25-Oct-2024 20:12                3653
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 20:12                3345
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 20:12               21227
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 20:12               29834
py3-ansi2html-1.9.2-r0.apk                         25-Oct-2024 20:12               18065
py3-ansi2html-pyc-1.9.2-r0.apk                     25-Oct-2024 20:12               22410
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 20:12              281319
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 20:12                3409
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 20:12             8891838
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 20:12              183788
py3-apio-0.9.5-r0.apk                              25-Oct-2024 20:12               74096
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 20:12               79056
py3-apk3-3.0.0_rc4_git20250320-r0.apk              24-Mar-2025 11:33                4322
py3-apsw-3.49.1.0-r0.apk                           25-Feb-2025 06:29              842236
py3-apsw-pyc-3.49.1.0-r0.apk                       25-Feb-2025 06:29              538954
py3-arcus-5.3.0-r2.apk                             11-Apr-2025 18:13               91383
py3-asif-0.3.2-r3.apk                              25-Oct-2024 20:12               13662
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 20:12               26501
py3-ask-0.0.8-r8.apk                               25-Oct-2024 20:12                5117
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 20:12                4582
py3-astral-3.2-r3.apk                              25-Oct-2024 20:12               37917
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 20:12               60336
py3-asyauth-0.0.21-r0.apk                          25-Oct-2024 20:12               81394
py3-asyauth-pyc-0.0.21-r0.apk                      25-Oct-2024 20:12              175477
py3-async-lru-2.0.5-r0.apk                         19-Mar-2025 11:46                7136
py3-async-lru-pyc-2.0.5-r0.apk                     19-Mar-2025 11:46                8697
py3-asysocks-0.2.13-r0.apk                         25-Oct-2024 20:12               89062
py3-asysocks-pyc-0.2.13-r0.apk                     25-Oct-2024 20:12              237394
py3-avro-1.11.3-r1.apk                             25-Oct-2024 20:12              100014
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 20:12              195954
py3-b2sdk-2.8.0-r0.apk                             26-Jan-2025 23:25              219955
py3-b2sdk-pyc-2.8.0-r0.apk                         26-Jan-2025 23:25              412186
py3-banal-1.0.6-r4.apk                             25-Oct-2024 20:12                7043
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 20:12                7380
py3-bandwidth-sdk-3.1.0-r8.apk                     25-Oct-2024 20:12               47102
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 25-Oct-2024 20:12               70851
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 20:12               16718
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 20:12                4363
py3-base58-2.1.1-r2.apk                            25-Oct-2024 20:12               11359
py3-beartype-0.20.1-r0.apk                         21-Mar-2025 19:33              929010
py3-beartype-pyc-0.20.1-r0.apk                     21-Mar-2025 19:33              672585
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 20:12               17502
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 20:12               10713
py3-bibtexparser-1.4.3-r0.apk                      19-Jan-2025 11:55               41198
py3-bibtexparser-pyc-1.4.3-r0.apk                  19-Jan-2025 11:55               49957
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 20:12               28382
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 20:12               29450
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51               13968
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51               24056
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 20:12               35842
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 20:12               13092
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 20:12              378688
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 20:12               11236
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 20:12               18290
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 12:38               69653
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 12:38              152403
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 12:38             2627091
py3-bookkeeper-4.17.1-r0.apk                       25-Oct-2024 20:12               43930
py3-bookkeeper-pyc-4.17.1-r0.apk                   25-Oct-2024 20:12               68221
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 20:12                4989
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 20:12                5341
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 20:12                4372
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 20:12                3384
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 20:12                3204
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 20:12                4081
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 20:12                3826
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 20:12                3286
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 20:12                2663
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 20:12                6258
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 20:12                5303
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 20:12               10441
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 20:12                7983
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 20:12                4966
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 20:12                5770
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 20:12                4810
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 20:12                5363
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 20:12                4755
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 20:12                3212
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 20:12                4192
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 20:12                4355
py3-bson-0.5.10-r6.apk                             25-Oct-2024 20:12               11974
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 20:12               18949
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 20:12               10889
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 20:12               16730
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 20:12               32843
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 20:12               54994
py3-caldav-1.4.0-r0.apk                            09-Nov-2024 20:46               69318
py3-caldav-pyc-1.4.0-r0.apk                        09-Nov-2024 20:46               92587
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 20:12              292702
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 20:12              573389
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 20:12               58520
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 20:12              105097
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 20:12              127113
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 20:12                3124
py3-cdio-2.1.1-r6.apk                              20-Jan-2025 20:43              104205
py3-cdio-pyc-2.1.1-r6.apk                          20-Jan-2025 20:43               44184
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 20:12                8918
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 20:12                9307
py3-chameleon-4.5.4-r0.apk                         25-Oct-2024 20:12               99827
py3-chameleon-pyc-4.5.4-r0.apk                     25-Oct-2024 20:12              134191
py3-ciso8601-2.3.1-r1.apk                          25-Oct-2024 20:12               15974
py3-cjkwrap-2.2-r4.apk                             25-Oct-2024 20:12                4722
py3-cjkwrap-pyc-2.2-r4.apk                         25-Oct-2024 20:12                5363
py3-class-doc-1.25-r1.apk                          25-Oct-2024 20:12                6200
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 20:12                8921
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 20:12               11050
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 20:12               14585
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 20:12                5273
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 20:12                4635
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 20:12                6496
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 20:12                8045
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 20:12                8142
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 20:12               10009
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 20:12              142762
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 20:12              227957
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 20:12               19745
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 20:12               12750
py3-colander-2.0-r2.apk                            25-Oct-2024 20:12               63967
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 20:12               43500
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 20:12                7490
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 20:12               10292
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 20:12                8732
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 20:12                7662
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 20:12               23864
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 20:12                3114
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 20:12               40578
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 20:12               36219
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 20:12                3814
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 20:12               48636
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 20:12               22761
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 20:12               44303
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 20:12               12103
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 20:12                4818
py3-createrepo_c-1.1.4-r0.apk                      25-Oct-2024 20:12               43414
py3-createrepo_c-pyc-1.1.4-r0.apk                  25-Oct-2024 20:12               14862
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 20:12              158924
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 20:12              285335
py3-cstruct-5.3-r1.apk                             25-Oct-2024 20:12               22575
py3-cstruct-pyc-5.3-r1.apk                         25-Oct-2024 20:12               37001
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13                8871
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13               10674
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 20:12              696447
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 20:12              958543
py3-cython-test-exception-raiser-1.0.2-r0.apk      25-Oct-2024 20:12               18289
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk  25-Oct-2024 20:12                1871
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 09:22               16884
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 20:12               28066
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 20:12               36510
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 20:12               11221
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 20:12               14615
py3-dateparser-1.2.0-r0.apk                        23-Nov-2024 04:14              201344
py3-dateparser-pyc-1.2.0-r0.apk                    23-Nov-2024 04:14              341748
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 20:12                4456
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 20:12                4325
py3-dbus-fast-2.33.0-r0.apk                        13-Apr-2025 22:10              623135
py3-dbus-fast-doc-2.33.0-r0.apk                    13-Apr-2025 22:10                6406
py3-dbus-fast-pyc-2.33.0-r0.apk                    13-Apr-2025 22:10              131221
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 20:12               13204
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 20:12                2308
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 20:12               20122
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 20:12               22742
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 20:12               38387
py3-discid-1.2.0-r6.apk                            25-Oct-2024 20:12               24386
py3-discid-pyc-1.2.0-r6.apk                        25-Oct-2024 20:12               13385
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 20:12               48446
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 20:12               49822
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:12               15007
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:12               15265
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 20:12              374544
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 20:12               33282
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 20:12                4121
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 20:12                5076
py3-dnslib-0.9.25-r0.apk                           25-Oct-2024 20:12               52997
py3-dnslib-pyc-0.9.25-r0.apk                       25-Oct-2024 20:12              111468
py3-dogpile.cache-1.3.3-r0.apk                     25-Oct-2024 20:12               53998
py3-dogpile.cache-pyc-1.3.3-r0.apk                 25-Oct-2024 20:12               92619
py3-doi-0.2-r0.apk                                 12-Apr-2025 12:09                6309
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 12:09                4857
py3-doit-0.36.0-r5.apk                             25-Oct-2024 20:12               78337
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 20:12              136443
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 20:12               25347
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 20:12               34543
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 20:12                8599
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 20:12                8888
py3-downloader-cli-0.3.4-r1.apk                    25-Oct-2024 20:12               11779
py3-downloader-cli-pyc-0.3.4-r1.apk                25-Oct-2024 20:12               14606
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 20:12               17436
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 20:12               18193
py3-drf-yasg-1.21.7-r2.apk                         25-Oct-2024 20:12             4248347
py3-drf-yasg-pyc-1.21.7-r2.apk                     25-Oct-2024 20:12               99686
py3-dt-schema-2025.02-r0.apk                       02-Mar-2025 15:25               82133
py3-dt-schema-pyc-2025.02-r0.apk                   02-Mar-2025 15:25               52066
py3-dunamai-1.23.1-r0.apk                          18-Apr-2025 10:39               27028
py3-dunamai-pyc-1.23.1-r0.apk                      18-Apr-2025 10:39               44239
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 20:12              226634
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 20:12                9303
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 20:12                6411
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:24               13795
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 20:12               28139
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 20:12                3720
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 20:12              125542
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 20:12              194736
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 20:12               16961
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 20:12                2073
py3-empy-3.3.4-r7.apk                              25-Oct-2024 20:12               40265
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 20:12               59897
py3-enzyme-0.5.1-r0.apk                            25-Oct-2024 20:12               23713
py3-enzyme-pyc-0.5.1-r0.apk                        25-Oct-2024 20:12               19381
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 20:12                7751
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 20:12                2582
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 20:12                8549
py3-euclid3-0.01-r8.apk                            25-Oct-2024 20:12               14510
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 20:12               33336
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:35              340185
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:35              343695
py3-evohome-client-0.3.7-r4.apk                    25-Oct-2024 20:12               19410
py3-evohome-client-pyc-0.3.7-r4.apk                25-Oct-2024 20:12               27691
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 20:12               39358
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 20:12                4300
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 20:12               41197
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 20:12               63171
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 20:12               18454
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 20:12               27393
py3-ffmpeg-0.2.0-r4.apk                            25-Oct-2024 20:12               24595
py3-ffmpeg-pyc-0.2.0-r4.apk                        25-Oct-2024 20:12               33563
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 20:12               14523
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 20:12               21379
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 20:12                5327
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 20:12                2651
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51               13037
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51                8065
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 20:12               18683
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 20:12                3418
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 20:12                6385
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 20:12                6110
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 20:12               15774
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 20:12               17177
py3-flake8-isort-6.1.1-r1.apk                      25-Oct-2024 20:12               18630
py3-flake8-isort-pyc-6.1.1-r1.apk                  25-Oct-2024 20:12                5496
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 20:12                7238
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 20:12                5832
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 20:12                6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 20:12                4517
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 20:12                5452
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 20:12                3761
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 20:12                3681
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 20:12                2282
py3-flask-accept-0.0.6-r1.apk                      25-Oct-2024 20:12                5108
py3-flask-accept-pyc-0.0.6-r1.apk                  25-Oct-2024 20:12                3790
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 20:12             6838819
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 20:12              366895
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 20:12                5208
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 20:12                5069
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 20:12                5469
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 20:12                4173
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 20:12                7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 20:12                5943
py3-flask-bootstrap-3.3.7.1-r8.apk                 25-Oct-2024 20:12              460568
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk             25-Oct-2024 20:12               11191
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 20:12               13080
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 20:12               18720
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 20:12                4873
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 20:12                4182
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 20:12                4023
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 20:12                3385
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 20:12               87743
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 20:12                6405
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:58               10954
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:58               13978
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 20:12                3260
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 20:12                2900
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 20:12                3284
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 20:12                2511
py3-flask-httpauth-4.8.0-r2.apk                    25-Oct-2024 20:12                8174
py3-flask-httpauth-pyc-4.8.0-r2.apk                25-Oct-2024 20:12               10844
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 20:12                4196
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 20:12                3519
py3-flask-limiter-3.10.1-r0.apk                    19-Jan-2025 17:13               27245
py3-flask-limiter-pyc-3.10.1-r0.apk                19-Jan-2025 17:13               48103
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 20:12                5705
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 20:12                8113
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 20:12               16862
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 20:12               26354
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 20:12                5749
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 20:12                3952
py3-flask-migrate-4.0.7-r0.apk                     25-Oct-2024 20:12               13401
py3-flask-migrate-pyc-4.0.7-r0.apk                 25-Oct-2024 20:12               18567
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 20:12                8412
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 20:12               11461
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 20:12              176171
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 20:12               97766
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 06:32               18462
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 06:32                6302
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 20:12              117604
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 20:12               20286
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 20:12               41454
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 20:12               60481
py3-flask-security-5.6.1-r0.apk                    21-Apr-2025 21:40              301616
py3-flask-security-pyc-5.6.1-r0.apk                21-Apr-2025 21:40              232380
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 20:12                8083
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 20:12                7140
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 20:12                9177
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 20:12                9888
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 20:12               40671
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 20:12               91319
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 20:12              164808
py3-funcparserlib-1.0.1-r4.apk                     25-Oct-2024 20:12               17022
py3-funcparserlib-pyc-1.0.1-r4.apk                 25-Oct-2024 20:12               19119
py3-furl-2.1.3-r4.apk                              25-Oct-2024 20:12               21889
py3-furl-pyc-2.1.3-r4.apk                          25-Oct-2024 20:12               33125
py3-gdcm-3.0.24-r0.apk                             25-Oct-2024 20:12              706907
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 20:12               23240
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 20:12               20214
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 20:12               31091
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 20:12               12253
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 20:12               13838
py3-github3-4.0.1-r1.apk                           25-Oct-2024 20:12              131344
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 20:12              232428
py3-glob2-0.7-r6.apk                               25-Oct-2024 20:12               10514
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 20:12               13218
py3-gls-1.3.1-r1.apk                               25-Oct-2024 20:12               47891
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 20:12               86152
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 20:12                9450
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 20:12               10836
py3-googletrans-3.0.0-r5.apk                       25-Oct-2024 20:12               15849
py3-googletrans-pyc-3.0.0-r5.apk                   25-Oct-2024 20:12               17843
py3-grequests-0.7.0-r2.apk                         25-Oct-2024 20:12                7012
py3-grequests-pyc-0.7.0-r2.apk                     25-Oct-2024 20:12                6002
py3-gtkspellcheck-5.0.3-r0.apk                     07-Dec-2024 20:32               45786
py3-gtkspellcheck-pyc-5.0.3-r0.apk                 07-Dec-2024 20:32               30268
py3-halo-0.0.31-r5.apk                             25-Oct-2024 20:12               11740
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 20:12               14255
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 20:12               25098
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 20:12               24758
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 20:12               12994
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 20:12               13306
py3-helper-2.5.0-r5.apk                            25-Oct-2024 20:12               19223
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 20:12               28853
py3-hfst-3.16.2-r0.apk                             29-Mar-2025 15:58              390922
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 20:12               71781
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 20:12              109355
py3-hishel-0.1.2-r0.apk                            11-Apr-2025 18:13               33884
py3-hishel-pyc-0.1.2-r0.apk                        11-Apr-2025 18:13               74614
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 20:12              171082
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 20:12               22810
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 20:12                4721
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 20:12                3333
py3-igraph-0.11.8-r0.apk                           23-Nov-2024 17:32              414580
py3-igraph-dev-0.11.8-r0.apk                       23-Nov-2024 17:32                2595
py3-igraph-pyc-0.11.8-r0.apk                       23-Nov-2024 17:32              379653
py3-imageio-2.35.1-r0.apk                          25-Oct-2024 20:12              294365
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 20:12               16887
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 20:12               20751
py3-imageio-pyc-2.35.1-r0.apk                      25-Oct-2024 20:12              516537
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 20:12              234497
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 20:12              248404
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 20:12               13134
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 20:12               20425
py3-infinity-1.5-r6.apk                            25-Oct-2024 20:12                4486
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 20:12                3830
py3-iniparse-0.5-r7.apk                            25-Oct-2024 20:12               19136
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 20:12               10592
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 20:12               25213
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 20:12                9672
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 20:12               15528
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37               11898
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37                4758
py3-irc-20.4.1-r0.apk                              25-Oct-2024 20:12               41880
py3-irc-pyc-20.4.1-r0.apk                          25-Oct-2024 20:12               72695
py3-isbnlib-3.10.14-r0.apk                         19-Jan-2025 11:55               43686
py3-isbnlib-pyc-3.10.14-r0.apk                     19-Jan-2025 11:55               68286
py3-iso639-lang-2.2.3-r0.apk                       25-Oct-2024 20:12              275438
py3-iso639-lang-pyc-2.2.3-r0.apk                   25-Oct-2024 20:12                9930
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42               11520
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42               12975
py3-itemloaders-1.3.2-r0.apk                       25-Oct-2024 20:12               12809
py3-itemloaders-pyc-1.3.2-r0.apk                   25-Oct-2024 20:12               16958
py3-iterable-io-1.0.0-r0.apk                       25-Oct-2024 20:12                6185
py3-iterable-io-pyc-1.0.0-r0.apk                   25-Oct-2024 20:12                5378
py3-itunespy-1.6-r4.apk                            25-Oct-2024 20:12               10260
py3-itunespy-pyc-1.6-r4.apk                        25-Oct-2024 20:12               15124
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57               12653
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57               13656
py3-jaraco.logging-3.3.0-r0.apk                    25-Oct-2024 20:12                6168
py3-jaraco.logging-pyc-3.3.0-r0.apk                25-Oct-2024 20:12                5985
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 20:12                7804
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 20:12                9749
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:56                6874
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:56                8272
py3-jaraco.vcs-2.4.1-r0.apk                        09-Mar-2025 08:52               10435
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    09-Mar-2025 08:52               16338
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 20:12                6048
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 20:12                6249
py3-json5-0.9.25-r0.apk                            30-Nov-2024 21:09               25579
py3-json5-pyc-0.9.25-r0.apk                        30-Nov-2024 21:09               30074
py3-jsonschema417-4.17.3-r1.apk                    25-Oct-2024 20:12               75076
py3-jsonschema417-pyc-4.17.3-r1.apk                25-Oct-2024 20:12              128251
py3-junit-xml-1.9-r3.apk                           25-Oct-2024 20:12                8543
py3-junit-xml-pyc-1.9-r3.apk                       25-Oct-2024 20:12                9540
py3-jupyterlab3-3.6.7-r0.apk                       19-Mar-2025 11:46            14676035
py3-jupyterlab_server-2.27.3-r0.apk                19-Mar-2025 11:46              126670
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 20:12              128026
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 20:12              250373
py3-keepalive-0.5-r5.apk                           25-Oct-2024 20:12                9186
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 20:12                2038
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 20:12               13361
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 20:12               17639
py3-kikit-1.6.0-r1.apk                             19-Mar-2025 11:46              243269
py3-kikit-pyc-1.6.0-r1.apk                         19-Mar-2025 11:46              279235
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 20:12                8608
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 20:12                9752
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 20:12              177706
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 20:12              112363
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08             5191896
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08             3105405
py3-latex2mathml-3.77.0-r1.apk                     25-Oct-2024 20:12               74002
py3-latex2mathml-pyc-3.77.0-r1.apk                 25-Oct-2024 20:12               35784
py3-lib_users-0.15-r4.apk                          25-Oct-2024 20:12               15994
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 20:12                9739
py3-libacl-0.7.0-r2.apk                            25-Oct-2024 20:12               26233
py3-libguestfs-1.52.0-r1.apk                       25-Oct-2024 20:12              186813
py3-libiio-0.25-r2.apk                             25-Oct-2024 20:12               12873
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:39               30221
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:39               50795
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 20:12               28563
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 20:12               33605
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 20:12               20804
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 20:12               31072
py3-libpyshell-0.4.1-r0.apk                        13-Apr-2025 22:16               11806
py3-libpyshell-pyc-0.4.1-r0.apk                    13-Apr-2025 22:16               18744
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 20:12               35628
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 20:12               25145
py3-limits-3.14.1-r0.apk                           25-Dec-2024 16:22               34301
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 16:22               73150
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 20:12               21846
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 20:12               23985
py3-linux-procfs-0.7.3-r0.apk                      13-Jan-2025 21:19               13930
py3-linux-procfs-pyc-0.7.3-r0.apk                  13-Jan-2025 21:19               22662
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 20:12                1661
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 20:12             1161222
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:12             5823449
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:12             2024150
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:12              956549
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 20:12              512016
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 20:12            10642018
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 20:12             1890460
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 20:12              114793
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:12              212854
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:12            20402085
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:12               45900
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:12              226712
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:12                7737
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:12              235822
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:12            59549449
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 20:12              691412
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:12              729736
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:12             2521219
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:12               59335
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:12             2308332
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:12             4914191
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 20:12              114194
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22              784632
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22               30606
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 20:12                4307
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 20:12                3143
py3-logfury-1.0.1-r0.apk                           25-Oct-2024 20:12                8047
py3-logfury-doc-1.0.1-r0.apk                       25-Oct-2024 20:12                2440
py3-logfury-pyc-1.0.1-r0.apk                       25-Oct-2024 20:12                7273
py3-logtop-0.7-r0.apk                              25-Oct-2024 20:12               21848
py3-logtop-pyc-0.7-r0.apk                          25-Oct-2024 20:12                4158
py3-lsp-black-2.0.0-r1.apk                         25-Oct-2024 20:12                7682
py3-lsp-black-pyc-2.0.0-r1.apk                     25-Oct-2024 20:12                6525
py3-lsp-mypy-0.7.0-r0.apk                          17-Feb-2025 09:34               12945
py3-lsp-mypy-pyc-0.7.0-r0.apk                      17-Feb-2025 09:34               13047
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 20:12               71160
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 20:12              109686
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 20:12                4075
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 20:12                2693
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 20:12               33265
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 20:12               51837
py3-ly-0.9.8-r1.apk                                25-Oct-2024 20:12              191724
py3-ly-doc-0.9.8-r1.apk                            25-Oct-2024 20:12                8285
py3-ly-pyc-0.9.8-r1.apk                            25-Oct-2024 20:12              363613
py3-lzo-1.16-r1.apk                                25-Oct-2024 20:12               16988
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 20:12                2016
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 20:12              199760
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 20:12              125548
py3-mando-0.7.1-r3.apk                             25-Oct-2024 20:12               22671
py3-mando-doc-0.7.1-r3.apk                         25-Oct-2024 20:12                4297
py3-mando-pyc-0.7.1-r3.apk                         25-Oct-2024 20:12               36827
py3-manuel-1.13.0-r0.apk                           30-Nov-2024 20:14               40052
py3-manuel-pyc-1.13.0-r0.apk                       30-Nov-2024 20:14               26227
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 20:12               64706
py3-marisa-trie-1.2.1-r0.apk                       11-Nov-2024 13:13              142166
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 20:12               48350
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 20:12               77300
py3-markdownify-1.1.0-r0.apk                       17-Apr-2025 23:03               15010
py3-markdownify-pyc-1.1.0-r0.apk                   17-Apr-2025 23:03               17467
py3-marshmallow-3.26.1-r0.apk                      21-Feb-2025 22:40               48688
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 20:12                5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 20:12                4557
py3-marshmallow-pyc-3.26.1-r0.apk                  21-Feb-2025 22:40               86935
py3-mbedtls-2.10.1-r2.apk                          25-Oct-2024 20:12              909920
py3-mbedtls-pyc-2.10.1-r2.apk                      25-Oct-2024 20:12               28017
py3-migen-0.9.2-r2.apk                             25-Oct-2024 20:12              146036
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 20:12              302743
py3-milc-1.9.1-r0.apk                              25-Jan-2025 16:04               26619
py3-milc-pyc-1.9.1-r0.apk                          25-Jan-2025 16:04               42496
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 18:50               10292
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 18:50               23986
py3-minidump-0.0.24-r0.apk                         25-Oct-2024 20:12               66903
py3-minidump-pyc-0.0.24-r0.apk                     25-Oct-2024 20:12              133452
py3-minikerberos-0.4.4-r1.apk                      25-Oct-2024 20:12              131733
py3-minikerberos-pyc-0.4.4-r1.apk                  25-Oct-2024 20:12              269897
py3-minio-7.2.13-r0.apk                            25-Dec-2024 17:48               78223
py3-minio-pyc-7.2.13-r0.apk                        25-Dec-2024 17:48              164239
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 11:46               45632
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 11:46               95233
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 20:12               97328
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 20:12                2458
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 20:12                9920
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 20:12               25360
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 20:12               49650
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 20:12               25131
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 20:12               37525
py3-mopidy-local-3.3.0-r0.apk                      03-Jan-2025 14:34               28437
py3-mopidy-local-pyc-3.3.0-r0.apk                  03-Jan-2025 14:34               34912
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 20:12               47118
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 20:12               75588
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 20:12               25347
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 20:12               36488
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 20:12                7614
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 20:12                8419
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 20:12               96762
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 20:12              159265
py3-msldap-0.5.14-r0.apk                           24-Feb-2025 21:15              143897
py3-msldap-pyc-0.5.14-r0.apk                       24-Feb-2025 21:15              331277
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:12               51806
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 20:12                9638
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 20:12               10095
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 20:12               69852
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 20:12              109077
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 20:12              199502
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 20:12                9432
py3-netmiko-4.5.0-r0.apk                           13-Feb-2025 07:33              183501
py3-netmiko-pyc-4.5.0-r0.apk                       13-Feb-2025 07:33              356320
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 20:12               20842
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 20:12               26092
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 20:12                9599
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 20:12               10152
py3-notifymail-1.1-r8.apk                          25-Oct-2024 20:12                7757
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 20:12                5879
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 20:12               21855
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 20:12               32799
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 20:12                7573
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 20:12                8833
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04               21512
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04               28545
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 20:12             5155509
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 20:12               79747
py3-okonomiyaki-2.0.0-r0.apk                       25-Oct-2024 20:12             8270613
py3-okonomiyaki-pyc-2.0.0-r0.apk                   25-Oct-2024 20:12              248904
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 20:12                7835
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 20:12               12017
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56              157905
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 20:12                8447
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 20:12               10676
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 20:12              509580
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 20:12               43281
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 20:12               12153
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 20:12               17613
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 20:12               10203
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 20:12                8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 20:12               47018
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 20:12               93237
py3-ovos-bus-client-1.3.4-r0.apk                   25-Apr-2025 06:18               51155
py3-ovos-bus-client-pyc-1.3.4-r0.apk               25-Apr-2025 06:18               91144
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 20:12              105445
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 20:12              167450
py3-ovos-config-1.2.0-r0.apk                       25-Apr-2025 06:18               46984
py3-ovos-config-pyc-1.2.0-r0.apk                   25-Apr-2025 06:18               35525
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 20:12              366365
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 20:12              447179
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk       25-Oct-2024 20:12                9221
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk   25-Oct-2024 20:12                4870
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 20:12              563432
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 20:12               88107
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 20:12               48093
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 20:12              106056
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 20:12                8268
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 20:12                3482
py3-ovos-ocp-news-plugin-0.0.4-r0.apk              25-Oct-2024 20:12               11612
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk          25-Oct-2024 20:12                9147
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk               25-Oct-2024 20:12                8498
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk           25-Oct-2024 20:12                3815
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> 21-Nov-2024 13:32                8830
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 21-Nov-2024 13:32                5134
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 20:12                4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 20:12                4478
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:32               97386
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:32               11496
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:32               12205
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:32                9891
py3-ovos-phal-plugin-system-1.3.2-r0.apk           08-Apr-2025 07:43               11169
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk       08-Apr-2025 07:43               10255
py3-ovos-plugin-manager-0.8.6-r0.apk               08-Apr-2025 07:43               95236
py3-ovos-plugin-manager-pyc-0.8.6-r0.apk           08-Apr-2025 07:43              184257
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 20:12               10329
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:12                6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 20:12                8533
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 20:12                4207
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 20:12                9739
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:12                5575
py3-ovos-utils-0.5.4-r0.apk                        25-Nov-2024 12:41               70485
py3-ovos-utils-pyc-0.5.4-r0.apk                    25-Nov-2024 12:41              126876
py3-ovos-workshop-3.1.1-r0.apk                     25-Nov-2024 12:41               89161
py3-ovos-workshop-pyc-3.1.1-r0.apk                 25-Nov-2024 12:41              159481
py3-owslib-0.32.1-r0.apk                           24-Jan-2025 19:03              198397
py3-owslib-pyc-0.32.1-r0.apk                       24-Jan-2025 19:03              432947
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 20:12              395305
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 20:12                4132
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 20:12               11719
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 20:12               12571
py3-pam-2.0.2-r2.apk                               25-Oct-2024 20:12               11460
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 20:12               13278
py3-pathvalidate-3.2.3-r0.apk                      06-Jan-2025 10:40               19251
py3-pathvalidate-pyc-3.2.3-r0.apk                  06-Jan-2025 10:40               34129
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 20:12                6447
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 20:12                7248
py3-pcbnewtransition-0.5.0-r0.apk                  19-Mar-2025 11:46                7852
py3-pcbnewtransition-pyc-0.5.0-r0.apk              19-Mar-2025 11:46                9818
py3-pdal-3.4.5-r0.apk                              05-Nov-2024 21:54              190658
py3-pdal-pyc-3.4.5-r0.apk                          05-Nov-2024 21:54               13349
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 20:12              239328
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 20:12              150836
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 20:12               10003
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 20:12               13510
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 20:12                9062
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 20:12               10955
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 20:12               13459
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 20:12                2274
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 20:12               17823
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 20:12               20566
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 20:12               35041
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 20:12                7681
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 20:12                5485
py3-pika-1.3.2-r1.apk                              25-Oct-2024 20:12              146537
py3-pika-pyc-1.3.2-r1.apk                          25-Oct-2024 20:12              251786
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 20:12               52870
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 20:12               36388
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 20:12                7155
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 20:12                4766
py3-pivy-0.6.9-r2.apk                              23-Nov-2024 22:49             2015390
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 20:12                7028
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 20:12                8751
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10            21485086
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:38               19025
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:38               34279
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 20:12               15139
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 20:12               25812
py3-poetry-dynamic-versioning-1.7.1-r0.apk         29-Jan-2025 06:49               20190
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk     29-Jan-2025 06:49               25838
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:46              121892
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 21:09               16880
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 21:09               16910
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 20:12               14238
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 20:12               16667
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 20:12                9074
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 20:12                2685
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 20:12                5086
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 20:12                7557
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 20:12               10155
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18                9565
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18               11836
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36               17262
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36               28398
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 20:12               21627
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 20:12               38585
py3-py-radix-0.10.0-r10.apk                        25-Oct-2024 20:12               20106
py3-py-radix-pyc-0.10.0-r10.apk                    25-Oct-2024 20:12               10881
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 20:12               53792
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 20:12               93817
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 20:12               35912
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 20:12               46361
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 20:12               15201
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 20:12               17240
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 20:12              368716
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 20:12                5589
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 20:12                3817
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 20:12               46661
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 20:12               10940
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 20:12                3731
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 20:12               13492
py3-pygelbooru-0.5.0-r4.apk                        25-Oct-2024 20:12                8345
py3-pygelbooru-pyc-0.5.0-r4.apk                    25-Oct-2024 20:12               11746
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 20:12               13035
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 20:12               13517
py3-pyglet-2.1.0-r0.apk                            12-Jan-2025 09:01              891127
py3-pyglet-pyc-2.1.0-r0.apk                        12-Jan-2025 09:01             1656954
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:41             1164871
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 20:12               38006
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 20:12                5189
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 20:12               15344
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 20:12               10337
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 20:12             1986475
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 20:12              514305
py3-pyinstrument-5.0.1-r0.apk                      24-Jan-2025 08:19              110361
py3-pyinstrument-pyc-5.0.1-r0.apk                  24-Jan-2025 08:19              101905
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 20:12               20936
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 20:12                9634
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 20:12               16968
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 20:12                9162
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 20:12               18419
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 20:12               35857
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 20:12               53166
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 20:12               32432
py3-pymata-2.20-r4.apk                             25-Oct-2024 20:12               23037
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 20:12               30100
py3-pymata4-1.15-r4.apk                            25-Oct-2024 20:12               23602
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 20:12               31567
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 20:12               17147
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 20:12               32305
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 20:12                9379
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 20:12               10079
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 09:34               12649
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 09:34                6697
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:49              290001
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 20:12               79150
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 20:12               43181
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 20:12               53642
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 20:12                2190
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 20:12               92072
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 20:12               37436
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 20:12                4406
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 20:12               48405
py3-pyrebase-3.0.27-r5.apk                         25-Oct-2024 20:12                9854
py3-pyrebase-pyc-3.0.27-r5.apk                     25-Oct-2024 20:12               17978
py3-pyroma-4.2-r0.apk                              25-Oct-2024 20:12               22396
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 20:12               26572
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 20:12               14446
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 20:12               14528
py3-pysequoia-0.1.20-r3.apk                        25-Oct-2024 20:12             1585298
py3-pysequoia-pyc-0.1.20-r3.apk                    25-Oct-2024 20:12                1925
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 20:12               44715
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 20:12               80049
py3-pysonic-1.0.3-r0.apk                           16-Apr-2025 22:35               35592
py3-pysonic-pyc-1.0.3-r0.apk                       16-Apr-2025 22:35               33007
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 20:12               57361
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 20:12               64552
py3-pysrt-1.1.2-r4.apk                             25-Oct-2024 20:12               26438
py3-pysrt-pyc-1.1.2-r4.apk                         25-Oct-2024 20:12               23555
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 20:12               69738
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 20:12               98987
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09               36791
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09               69336
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 19:50               41944
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 19:50                2940
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 20:12                7139
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 20:12                2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 20:12                6129
py3-pyte-0.8.2-r2.apk                              25-Oct-2024 20:12               31023
py3-pyte-pyc-0.8.2-r2.apk                          25-Oct-2024 20:12               40409
py3-pytest-datadir-1.6.1-r0.apk                    17-Apr-2025 18:04                6011
py3-pytest-datadir-pyc-1.6.1-r0.apk                17-Apr-2025 18:04                3770
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 20:12                6035
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 20:12                7121
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 20:12                4641
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 20:12                2867
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 20:12               22143
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 20:12               22275
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 20:12               10440
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 20:12                8085
py3-pytest-regtest-2.3.2-r1.apk                    17-Feb-2025 09:34               16197
py3-pytest-regtest-pyc-2.3.2-r1.apk                17-Feb-2025 09:34               30771
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 20:12               19977
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 20:12               25101
py3-python-archive-0.2-r7.apk                      25-Oct-2024 20:12                7575
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 20:12                9574
py3-python-iptables-1.0.1-r1.apk                   25-Oct-2024 20:12               39748
py3-python-iptables-pyc-1.0.1-r1.apk               25-Oct-2024 20:12               69536
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 20:12                8809
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 20:12                2314
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 20:12                8615
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 20:12              825051
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 20:12              300542
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46               23216
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46               41423
py3-pyzor-1.0.0-r11.apk                            25-Oct-2024 20:12               41197
py3-pyzor-pyc-1.0.0-r11.apk                        25-Oct-2024 20:12               54990
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 20:12               37752
py3-qgis-3.34.15-r1.apk                            11-Apr-2025 18:13            21254888
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 20:12              100403
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 20:12               57642
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 20:12              184550
py3-qt.py-1.3.10-r1.apk                            25-Oct-2024 20:12               33475
py3-qt.py-pyc-1.3.10-r1.apk                        25-Oct-2024 20:12               25279
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 20:12                9026
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 20:12                8002
py3-queuelib-1.7.0-r0.apk                          25-Oct-2024 20:12               13076
py3-queuelib-pyc-1.7.0-r0.apk                      25-Oct-2024 20:12               25386
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 20:12               11202
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 20:12               15857
py3-radon-6.0.1-r2.apk                             25-Oct-2024 20:12               32277
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 20:12                5265
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 20:12               50758
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 20:12               12025
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 20:12               17922
py3-recurring-ical-events-3.7.0-r0.apk             23-Apr-2025 21:27               50187
py3-recurring-ical-events-pyc-3.7.0-r0.apk         23-Apr-2025 21:27               49639
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 20:12               37666
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 20:12               54877
py3-remind-0.19.2-r0.apk                           23-Apr-2025 21:27               25016
py3-remind-pyc-0.19.2-r0.apk                       23-Apr-2025 21:27               23060
py3-requests-cache-1.2.1-r1.apk                    18-Nov-2024 18:00               51131
py3-requests-cache-pyc-1.2.1-r1.apk                18-Nov-2024 18:00               96468
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24               12389
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24               11189
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 20:12                5645
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 20:12                6757
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 20:12               13178
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 20:12               12744
py3-rfc3987-1.3.8-r6.apk                           25-Oct-2024 20:12               21434
py3-rfc3987-pyc-1.3.8-r6.apk                       25-Oct-2024 20:12               10854
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 20:12               31470
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 20:12               41303
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 20:12               13351
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 20:12               10966
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 20:12               48193
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 20:12               93452
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 20:12               29490
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 20:12               55425
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 20:12               38030
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 20:12               16334
py3-rst-0.1-r9.apk                                 25-Oct-2024 20:12                5696
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 20:12                6256
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 20:12                6238
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 20:12                6808
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 20:12               12451
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 20:12                2293
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 20:12               24545
py3-rtree-1.4.0-r0.apk                             26-Apr-2025 08:09               26827
py3-rtree-pyc-1.4.0-r0.apk                         26-Apr-2025 08:09               48601
py3-schema-0.7.5-r4.apk                            25-Oct-2024 20:12               18912
py3-schema-pyc-0.7.5-r4.apk                        25-Oct-2024 20:12               18755
py3-scour-0.38.2-r1.apk                            25-Oct-2024 20:12               57739
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 20:12               75539
py3-scrapy-2.11.1-r1.apk                           25-Oct-2024 20:12              245740
py3-scrapy-pyc-2.11.1-r1.apk                       25-Oct-2024 20:12              493588
py3-scs-3.2.3-r4.apk                               25-Oct-2024 20:12              142070
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 20:12                5043
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 20:12             2581803
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12               43248
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 20:12                5555
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 20:12                6148
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:14               38666
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:14               56171
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 20:12               45152
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 20:12                7372
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 20:12               81048
py3-simber-0.2.6-r4.apk                            25-Oct-2024 20:12               12406
py3-simber-pyc-0.2.6-r4.apk                        25-Oct-2024 20:12               16785
py3-simplematch-1.4-r1.apk                         25-Oct-2024 20:12                8190
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 20:12                5938
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 20:12              219165
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 20:12              160329
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 20:12                8053
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 20:12               12057
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 20:12                7682
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 20:12                8276
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 06:04              227354
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 06:04                2016
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 20:12              391916
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 20:12                5949
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 20:12              746994
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 20:12               15474
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 20:12               26348
py3-soappy-0.52.30-r0.apk                          02-Dec-2024 22:53               48394
py3-soappy-pyc-0.52.30-r0.apk                      02-Dec-2024 22:53               97565
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 20:12               17911
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 20:12               27160
py3-solidpython-1.1.2-r2.apk                       25-Oct-2024 20:12               80584
py3-solidpython-pyc-1.1.2-r2.apk                   25-Oct-2024 20:12              122835
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 20:12               10915
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 20:12               14199
py3-spake2-0.9-r0.apk                              25-Oct-2024 20:12               30869
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 20:12               44557
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 20:12               14526
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 20:12               22650
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 20:12               10778
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 20:12                2032
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 20:12             1212513
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 20:12                2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 20:12               66315
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 20:12                1881
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 20:12               83261
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 20:12               43799
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 20:12               30571
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 20:12                2530
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 20:12             2564870
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 20:12                5641
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 20:12                9028
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 20:12                2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 20:12                7815
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 20:12                9501
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 20:12                9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 20:12               12267
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 20:12                5812
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 20:12                4186
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 20:12                7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 20:12                9372
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 20:12                5867
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 20:12                4353
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 20:12               18355
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 20:12               34307
py3-sphinxcontrib-doxylink-1.12.3-r0.apk           06-Dec-2024 22:58               12524
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk       06-Dec-2024 22:58               16777
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 20:12                9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 20:12                3565
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 20:12                4005
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 20:12                3412
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 20:12               17541
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 20:12                6782
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 20:12                8052
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 20:12                7803
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 20:12               10810
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 20:12               15397
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 20:12               18531
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 20:12                4439
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 20:12               34701
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:12               21878
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:12               44890
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 20:12               10787
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 20:12               15441
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 20:12               11365
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 20:12               12238
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 20:12                8111
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 20:12               10853
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 20:12                4237
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 20:12                3223
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 20:12                8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 20:12               11459
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk          25-Oct-2024 20:12               11746
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk      25-Oct-2024 20:12               17371
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 20:12               16868
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 20:12               24421
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 20:12               11595
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 20:12               20990
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 20:12                7832
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 20:12                9520
py3-sphinxcontrib-slide-1.0.0-r3.apk               25-Oct-2024 20:12                5115
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk           25-Oct-2024 20:12                5681
py3-sphinxcontrib-spelling-8.0.0-r3.apk            25-Oct-2024 20:12               15717
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk        25-Oct-2024 20:12               19360
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 20:12                7519
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 20:12                5048
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 20:12                6256
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 20:12                5788
py3-spidev-3.6-r1.apk                              25-Oct-2024 20:12               13908
py3-spin-0.8-r0.apk                                25-Oct-2024 20:12               18964
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 20:12               25075
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 20:12                6238
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 20:12                6399
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52              120739
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52              224333
py3-spotipy-2.24.0-r1.apk                          25-Oct-2024 20:12               30671
py3-spotipy-pyc-2.24.0-r1.apk                      25-Oct-2024 20:12               50831
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03               26954
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03               42172
py3-sssd-2.10.2-r1.apk                             21-Mar-2025 19:33               60604
py3-sssd-pyc-2.10.2-r1.apk                         21-Mar-2025 19:33               49741
py3-sstash-0.17-r9.apk                             25-Oct-2024 20:12                7912
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 20:12               10533
py3-svgpath-6.3-r3.apk                             25-Oct-2024 20:12               17481
py3-svgpath-pyc-6.3-r3.apk                         25-Oct-2024 20:12               22168
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 20:12             2602513
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 20:12                2160
py3-synapse-auto-accept-invite-1.2.0-r0.apk        25-Oct-2024 20:12               10075
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk    25-Oct-2024 20:12                5733
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 20:12                7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 20:12                6791
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 20:12               23654
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 20:12               52764
py3-telegram-0.18.0-r3.apk                         25-Oct-2024 20:12               13920
py3-telegram-bot-21.10-r0.apk                      17-Feb-2025 09:34              465165
py3-telegram-bot-pyc-21.10-r0.apk                  17-Feb-2025 09:34              741056
py3-telegram-pyc-0.18.0-r3.apk                     25-Oct-2024 20:12               21404
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 20:12                9413
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 20:12               13264
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 20:12               21442
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 20:12               31784
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 20:12               15781
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 20:12               21019
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 20:12               17767
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 20:12               16334
py3-textual-0.87.1-r0.apk                          07-Dec-2024 20:23              580998
py3-textual-pyc-0.87.1-r0.apk                      07-Dec-2024 20:23             1154108
py3-tg-0.19.0-r5.apk                               25-Oct-2024 20:12               75121
py3-tg-pyc-0.19.0-r5.apk                           25-Oct-2024 20:12               82568
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 20:12               10255
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 20:12                9163
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 20:12                6098
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 20:12                6527
py3-tidalapi-0.7.4-r1.apk                          25-Oct-2024 20:12               36425
py3-tidalapi-pyc-0.7.4-r1.apk                      25-Oct-2024 20:12               63710
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 20:12               24162
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 20:12                2915
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 20:12               28321
py3-tls_parser-2.0.1-r1.apk                        25-Oct-2024 20:12                9401
py3-tls_parser-pyc-2.0.1-r1.apk                    25-Oct-2024 20:12               17838
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 20:12              182786
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 20:12              281365
py3-tokenizers-0.21.1-r0.apk                       25-Apr-2025 06:18             1683127
py3-tokenizers-pyc-0.21.1-r0.apk                   25-Apr-2025 06:18               29557
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 20:12              279837
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 20:12              241768
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 20:12              100078
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 20:12              131886
py3-translationstring-1.4-r4.apk                   25-Oct-2024 20:12                9373
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 20:12                8979
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 20:12               34841
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 20:12               56225
py3-truststore-0.10.1-r0.apk                       10-Feb-2025 06:06               17909
py3-truststore-pyc-0.10.1-r0.apk                   10-Feb-2025 06:06               26121
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 20:12               24708
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 20:12               39612
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 20:12               10151
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 20:12               14570
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 20:12               11169
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 20:12               16622
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 20:12                5840
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 20:12                2247
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 20:12                6934
py3-uc-micro-py-1.0.2-r1.apk                       25-Oct-2024 20:12                9282
py3-unearth-0.17.5-r0.apk                          11-Apr-2025 18:13               41331
py3-unearth-pyc-0.17.5-r0.apk                      11-Apr-2025 18:13               83527
py3-unicorn-2.0.1-r4.apk                           25-Oct-2024 20:12               34469
py3-unicorn-pyc-2.0.1-r4.apk                       25-Oct-2024 20:12               58173
py3-unicrypto-0.0.10-r2.apk                        25-Oct-2024 20:12               62374
py3-unicrypto-pyc-0.0.10-r2.apk                    25-Oct-2024 20:12               96338
py3-unidns-0.0.1-r2.apk                            25-Oct-2024 20:12               13878
py3-unidns-examples-0.0.1-r2.apk                   25-Oct-2024 20:12                2761
py3-unidns-pyc-0.0.1-r2.apk                        25-Oct-2024 20:12               22127
py3-unoconv-0.9.0-r2.apk                           25-Oct-2024 20:12               26633
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 20:12               10168
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 20:12                8906
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 20:12               15112
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 20:12               25272
py3-us-3.2.0-r0.apk                                25-Oct-2024 20:12               14661
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 20:12               15556
py3-utc-0.0.3-r9.apk                               25-Oct-2024 20:12                3554
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 20:12                2832
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 20:12               19072
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 20:12                8830
py3-vdf-3.4-r1.apk                                 25-Oct-2024 20:12               11592
py3-vdf-pyc-3.4-r1.apk                             25-Oct-2024 20:12               16956
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14               14700
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14               12798
py3-virtualenvwrapper-6.1.0-r1.apk                 25-Oct-2024 20:12               22410
py3-virtualenvwrapper-pyc-6.1.0-r1.apk             25-Oct-2024 20:12               11995
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 20:12                4733
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 20:12                2686
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 20:12               41684
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 20:12               81464
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 20:12               18936
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 20:12               20048
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 20:12                7750
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 20:12               13707
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:49               22497
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:49               11975
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 20:12               13323
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 20:12               14068
py3-winacl-0.1.9-r0.apk                            25-Oct-2024 20:12               85760
py3-winacl-pyc-0.1.9-r0.apk                        25-Oct-2024 20:12              135193
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 20:12               17082
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 20:12               28846
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 20:12               54480
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 20:12              113411
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 20:12               13020
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 20:12               25250
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13               11932
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13                7264
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 11:29               34416
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:32              319996
py3-xlwt-1.3.0-r9.apk                              25-Oct-2024 20:12               96874
py3-xlwt-pyc-1.3.0-r9.apk                          25-Oct-2024 20:12              169513
py3-xsdata-25.4-r0.apk                             14-Apr-2025 09:33              194236
py3-xsdata-pyc-25.4-r0.apk                         14-Apr-2025 09:33              402080
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 20:12               33016
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 20:12               48273
py3-yara-4.5.1-r0.apk                              25-Oct-2024 20:12               18868
py3-yosys-0.42-r0.apk                              25-Oct-2024 20:12                1849
py3-youtube-search-1.6.6-r4.apk                    25-Oct-2024 20:12               81006
py3-youtube-search-pyc-1.6.6-r4.apk                25-Oct-2024 20:12               98489
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:41               52855
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:41               70012
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 20:12               46237
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 20:12               29627
py3-zope-configuration-5.0.1-r2.apk                25-Oct-2024 20:12               40078
py3-zope-configuration-pyc-5.0.1-r2.apk            25-Oct-2024 20:12               49689
py3-zope-i18nmessageid-6.1.0-r2.apk                25-Oct-2024 20:12               16017
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk            25-Oct-2024 20:12                8179
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 20:12               46348
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 20:12               62533
pyinfra-3.2-r0.apk                                 23-Jan-2025 02:45              190422
pyinfra-pyc-3.2-r0.apk                             23-Jan-2025 02:45              357054
pympress-1.8.5-r1.apk                              25-Oct-2024 20:12              185517
pympress-doc-1.8.5-r1.apk                          25-Oct-2024 20:12              356786
pympress-lang-1.8.5-r1.apk                         25-Oct-2024 20:12               57656
pympress-pyc-1.8.5-r1.apk                          25-Oct-2024 20:12              186568
pyonji-0.1.0-r4.apk                                12-Apr-2025 03:09             3128767
pypy-7.3.12-r0.apk                                 25-Oct-2024 20:12            15612710
pypy-bootstrap-7.3.12-r0.apk                       25-Oct-2024 20:12            16345737
pypy-dev-7.3.12-r0.apk                             25-Oct-2024 20:12               80016
pypy-tkinter-7.3.12-r0.apk                         25-Oct-2024 20:12              463614
pypy3-7.3.12-r0.apk                                25-Oct-2024 20:12            15291983
pypy3-dev-7.3.12-r0.apk                            25-Oct-2024 20:12              578057
pypy3-pyc-7.3.12-r0.apk                            25-Oct-2024 20:12             6138261
pypy3-tests-7.3.12-r0.apk                          25-Oct-2024 20:12            13530275
pypy3-tkinter-7.3.12-r0.apk                        25-Oct-2024 20:12              308229
pypykatz-0.6.11-r0.apk                             28-Feb-2025 21:50              322844
pypykatz-pyc-0.6.11-r0.apk                         28-Feb-2025 21:50              738336
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 20:12              891700
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 20:12              115358
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 20:12              829774
qbittorrent-cli-2.1.0-r4.apk                       12-Apr-2025 03:09             6102038
qdjango-0.6.2-r1.apk                               25-Oct-2024 20:12              114975
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 20:12               14393
qflipper-1.3.3-r1.apk                              25-Oct-2024 20:12              539564
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 20:12             1177838
qgis-3.34.15-r1.apk                                11-Apr-2025 18:13            49475596
qgis-dev-3.34.15-r1.apk                            11-Apr-2025 18:13             3461142
qgis-doc-3.34.15-r1.apk                            11-Apr-2025 18:13                3130
qgis-grass-3.34.15-r1.apk                          11-Apr-2025 18:13             1452453
qgis-lang-3.34.15-r1.apk                           11-Apr-2025 18:13            32956420
qgis-server-3.34.15-r1.apk                         11-Apr-2025 18:13             1829195
qmk-cli-1.1.7-r0.apk                               23-Apr-2025 21:27               15239
qmk-cli-pyc-1.1.7-r0.apk                           23-Apr-2025 21:27               22345
qml-box2d-0_git20180406-r0.apk                     25-Oct-2024 20:12              150365
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 20:12                1496
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12                6995
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12               30257
qownnotes-23.6.6-r0.apk                            25-Oct-2024 20:12             2620966
qownnotes-lang-23.6.6-r0.apk                       25-Oct-2024 20:12             4619638
qpdfview-0.5-r2.apk                                29-Jan-2025 19:46             1074205
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:46                4350
qperf-0.4.11-r1.apk                                25-Oct-2024 20:12               36018
qperf-doc-0.4.11-r1.apk                            25-Oct-2024 20:12                5669
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 20:12              178768
qsstv-9.5.8-r2.apk                                 25-Oct-2024 20:12             1013327
qstardict-2.0.2-r1.apk                             24-Nov-2024 03:04              476275
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 03:04               10896
qsynth-1.0.2-r0.apk                                25-Oct-2024 20:12              470906
qsynth-doc-1.0.2-r0.apk                            25-Oct-2024 20:12                4469
qt-jdenticon-0.3.1-r0.apk                          25-Oct-2024 20:12               29532
qt-jdenticon-doc-0.3.1-r0.apk                      25-Oct-2024 20:12                2251
qt-wayland-shell-helpers-0.1.1-r3.apk              25-Oct-2024 20:12               13339
qt-wayland-shell-helpers-dev-0.1.1-r3.apk          25-Oct-2024 20:12                4031
qt5ct-1.8-r0.apk                                   25-Oct-2024 20:12              246855
qt5ct-dev-1.8-r0.apk                               25-Oct-2024 20:12                1521
qt6ct-0.9-r3.apk                                   09-Dec-2024 19:39              207649
qtile-0.30.0-r0.apk                                10-Feb-2025 21:36              446273
qtile-pyc-0.30.0-r0.apk                            10-Feb-2025 21:36              857514
qtmir-0.7.2_git20250407-r0.apk                     17-Apr-2025 23:03              559175
qtmir-dev-0.7.2_git20250407-r0.apk                 17-Apr-2025 23:03                6798
qtox-1.17.6-r6.apk                                 25-Oct-2024 20:12             5300413
qtpass-1.4.0-r0.apk                                25-Oct-2024 20:12              448238
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 20:12                2192
quakespasm-0.96.3-r0.apk                           25-Oct-2024 20:12              505705
qucs-s-1.1.0-r1.apk                                25-Oct-2024 20:12             3724681
qucs-s-doc-1.1.0-r1.apk                            25-Oct-2024 20:12                2420
qucs-s-lang-1.1.0-r1.apk                           25-Oct-2024 20:12              885310
queercat-1.0.0-r0.apk                              25-Oct-2024 20:12                8374
quodlibet-4.6.0-r1.apk                             25-Oct-2024 20:12             1101301
quodlibet-bash-completion-4.6.0-r1.apk             25-Oct-2024 20:12                4801
quodlibet-doc-4.6.0-r1.apk                         25-Oct-2024 20:12                8922
quodlibet-lang-4.6.0-r1.apk                        25-Oct-2024 20:12             1231560
quodlibet-pyc-4.6.0-r1.apk                         25-Oct-2024 20:12             1906994
quodlibet-zsh-completion-4.6.0-r1.apk              25-Oct-2024 20:12                2796
raku-data-dump-0.0.16_git20250123-r0.apk           24-Apr-2025 17:08               45203
raku-data-dump-doc-0.0.16_git20250123-r0.apk       24-Apr-2025 17:08                3005
raku-file-directory-tree-0.1_git20240123-r0.apk    24-Apr-2025 17:08                9017
raku-file-directory-tree-doc-0.1_git20240123-r0..> 24-Apr-2025 17:08                3222
raku-hash-merge-2.0.0-r0.apk                       24-Apr-2025 17:08               15864
raku-hash-merge-doc-2.0.0-r0.apk                   24-Apr-2025 17:08                2414
raku-http-tiny-0.2.6-r0.apk                        24-Apr-2025 17:08              198511
raku-http-tiny-doc-0.2.6-r0.apk                    24-Apr-2025 17:08                9082
raku-json-fast-0.19-r0.apk                         24-Apr-2025 17:08               67137
raku-json-fast-doc-0.19-r0.apk                     24-Apr-2025 17:08                3911
raku-mime-base64-1.2.3-r0.apk                      24-Apr-2025 17:08               67834
raku-mime-base64-doc-1.2.3-r0.apk                  24-Apr-2025 17:08                2865
raku-sparrow6-0.0.66-r0.apk                        24-Apr-2025 17:08             1360096
raku-sparrow6-doc-0.0.66-r0.apk                    24-Apr-2025 17:08                5084
raku-terminal-ansicolor-0.12-r0.apk                24-Apr-2025 17:08               39649
raku-terminal-ansicolor-doc-0.12-r0.apk            24-Apr-2025 17:08                4332
raku-yamlish-0.1.2-r0.apk                          24-Apr-2025 17:08              293553
raku-yamlish-doc-0.1.2-r0.apk                      24-Apr-2025 17:08                2682
randrctl-1.10.0-r0.apk                             18-Nov-2024 18:00               28597
randrctl-pyc-1.10.0-r0.apk                         18-Nov-2024 18:00               31934
rankwidth-0.9-r3.apk                               25-Oct-2024 20:12                5772
rankwidth-dev-0.9-r3.apk                           25-Oct-2024 20:12                3010
rankwidth-doc-0.9-r3.apk                           25-Oct-2024 20:12                3103
rankwidth-libs-0.9-r3.apk                          25-Oct-2024 20:12                5335
rankwidth-static-0.9-r3.apk                        25-Oct-2024 20:12                4958
raspberrypi-usbboot-20210701-r3.apk                25-Oct-2024 20:12             1524761
rathole-0.5.0-r0.apk                               25-Oct-2024 20:12             1474996
rattler-build-0.18.0-r0.apk                        25-Oct-2024 20:12             5993759
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 20:12                3705
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 20:12                6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 20:12                4829
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 20:12                5568
rauc-1.10.1-r0.apk                                 25-Oct-2024 20:12              149519
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 20:12                4270
rauc-service-1.10.1-r0.apk                         25-Oct-2024 20:12                3835
razercfg-0.42-r7.apk                               25-Oct-2024 20:12               85869
razercfg-gui-0.42-r7.apk                           25-Oct-2024 20:12               19429
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 20:12                1763
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 20:12               36476
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 20:12              357087
rcon-cli-1.6.2-r10.apk                             12-Apr-2025 03:09             3148140
rdedup-3.2.1-r5.apk                                25-Oct-2024 20:12              850479
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:31               31636
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:31                3785
reaction-1.4.1-r5.apk                              12-Apr-2025 03:09             2268007
reaction-openrc-1.4.1-r5.apk                       12-Apr-2025 03:09                1873
reaction-tools-1.4.1-r5.apk                        12-Apr-2025 03:09               28005
readosm-1.1.0-r2.apk                               25-Oct-2024 20:12               16127
readosm-dev-1.1.0-r2.apk                           25-Oct-2024 20:12               21237
reason-3.8.2-r1.apk                                25-Oct-2024 20:12            18313783
reason-rtop-3.8.2-r1.apk                           25-Oct-2024 20:12            25446719
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 20:12              428927
recoll-1.37.5-r1.apk                               25-Oct-2024 20:12             3025376
recoll-dev-1.37.5-r1.apk                           25-Oct-2024 20:12               54325
recoll-doc-1.37.5-r1.apk                           25-Oct-2024 20:12               21644
redhat-fonts-4.1.0-r0.apk                          19-Mar-2025 11:46              828430
refine-0.5.7-r0.apk                                16-Apr-2025 22:50               33814
refine-lang-0.5.7-r0.apk                           16-Apr-2025 22:50               29400
reflection-cpp-0.2.0-r0.apk                        13-Apr-2025 23:14                1285
reflection-cpp-dev-0.2.0-r0.apk                    13-Apr-2025 23:14               10120
reflection-cpp-doc-0.2.0-r0.apk                    13-Apr-2025 23:14                5637
reg-0.16.1-r27.apk                                 12-Apr-2025 03:09             5045423
regal-0.29.2-r4.apk                                12-Apr-2025 03:09            10849449
regal-bash-completion-0.29.2-r4.apk                12-Apr-2025 03:09                5188
regal-fish-completion-0.29.2-r4.apk                12-Apr-2025 03:09                4429
regal-zsh-completion-0.29.2-r4.apk                 12-Apr-2025 03:09                4140
regclient-0.8.2-r1.apk                             12-Apr-2025 03:09            14706034
remake-1.5-r1.apk                                  25-Oct-2024 20:12              150327
remake-dev-1.5-r1.apk                              25-Oct-2024 20:12                3000
remake-doc-1.5-r1.apk                              25-Oct-2024 20:12              206491
remake-make-1.5-r1.apk                             25-Oct-2024 20:12                1567
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 20:12               18108
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 20:12                6287
repgrep-0.15.0-r0.apk                              25-Oct-2024 20:12             1244363
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 20:12                1680
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 20:12                6654
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 20:12                4249
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 20:12                1686
repo-2.53-r0.apk                                   20-Mar-2025 03:18               17186
repo-doc-2.53-r0.apk                               20-Mar-2025 03:18               39381
repowerd-2023.07-r3.apk                            17-Feb-2025 09:34              940384
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 09:34                1714
reprotest-0.7.29-r0.apk                            23-Dec-2024 04:07               82102
reprotest-pyc-0.7.29-r0.apk                        23-Dec-2024 04:07              105394
reredirect-0.3-r0.apk                              25-Oct-2024 20:12                9174
reredirect-doc-0.3-r0.apk                          25-Oct-2024 20:12                2906
resources-1.8.0-r0.apk                             12-Apr-2025 22:41             2450197
resources-lang-1.8.0-r0.apk                        12-Apr-2025 22:41              128592
responder-3.1.5.0-r0.apk                           25-Oct-2024 20:12              767989
restart-services-0.17.0-r0.apk                     25-Oct-2024 20:12               11788
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 20:12                6024
restic.mk-0.4.0-r0.apk                             25-Oct-2024 20:12                2981
restinio-0.6.19-r1.apk                             14-Dec-2024 19:46                1260
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 19:46              274277
rezolus-2.11.1-r3.apk                              25-Oct-2024 20:12              904528
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 20:12                3440
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 20:12                2121
rgxg-0.1.2-r2.apk                                  25-Oct-2024 20:12               15125
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 20:12                3608
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 20:12               12614
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 20:12               44636
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 20:12               74613
ri-li-2.0.1-r1.apk                                 25-Oct-2024 20:12            19151816
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 20:12              545098
rime-ls-0.4.2-r0.apk                               05-Apr-2025 04:01             1176019
rinetd-0.73-r0.apk                                 25-Oct-2024 20:12               14823
rinetd-doc-0.73-r0.apk                             25-Oct-2024 20:12               16847
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 20:12                1758
rio-0.2.12-r0.apk                                  29-Mar-2025 16:25            10597095
rio-doc-0.2.12-r0.apk                              29-Mar-2025 16:25                2284
rio-terminfo-0.2.12-r0.apk                         29-Mar-2025 16:25                3437
ripasso-cursive-0.6.5-r0.apk                       25-Oct-2024 20:12             2997484
ripdrag-0.4.10-r0.apk                              25-Oct-2024 20:12              350772
river-luatile-0.1.3-r0.apk                         25-Oct-2024 20:12              430247
river-shifttags-0.2.1-r0.apk                       25-Oct-2024 20:12                6039
river-shifttags-doc-0.2.1-r0.apk                   25-Oct-2024 20:12                2393
rizin-0.6.3-r1.apk                                 25-Oct-2024 20:12             2788028
rizin-cutter-2.3.2-r2.apk                          25-Oct-2024 20:12             2332452
rizin-cutter-dev-2.3.2-r2.apk                      25-Oct-2024 20:12              110498
rizin-dev-0.6.3-r1.apk                             25-Oct-2024 20:12              313453
rizin-doc-0.6.3-r1.apk                             25-Oct-2024 20:12               18070
rizin-libs-0.6.3-r1.apk                            25-Oct-2024 20:12             4701029
rkdeveloptool-1.1.0-r1.apk                         25-Oct-2024 20:12               59379
rkdeveloptool-doc-1.1.0-r1.apk                     25-Oct-2024 20:12                3023
rke-1.4.3-r14.apk                                  12-Apr-2025 03:09            22064399
rke-doc-1.4.3-r14.apk                              12-Apr-2025 03:09                3028
rmlint-2.10.2-r2.apk                               25-Oct-2024 20:12              160157
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 20:12               18236
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 20:12               19356
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 20:12               98266
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 20:12              127482
rmpc-0.8.0-r0.apk                                  14-Apr-2025 00:52             2308349
rmpc-bash-completion-0.8.0-r0.apk                  14-Apr-2025 00:52                4231
rmpc-doc-0.8.0-r0.apk                              14-Apr-2025 00:52                4129
rmpc-fish-completion-0.8.0-r0.apk                  14-Apr-2025 00:52                4455
rmpc-zsh-completion-0.8.0-r0.apk                   14-Apr-2025 00:52                5771
rofi-blocks-0.1.0-r0.apk                           25-Oct-2024 20:12               12379
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 20:12                5516
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 20:12                9054
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 20:12                5072
rosdep-0.19.0-r6.apk                               25-Oct-2024 20:12               67719
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 20:12              121852
rosenpass-0.2.2-r1.apk                             01-Feb-2025 18:23             1001107
roswell-24.10.115-r0.apk                           25-Oct-2024 20:12              111607
roswell-doc-24.10.115-r0.apk                       25-Oct-2024 20:12               18600
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 20:12              616976
rpi-imager-1.9.0-r0.apk                            25-Oct-2024 20:12              734918
rpi-imager-doc-1.9.0-r0.apk                        25-Oct-2024 20:12                3143
rss-email-0.5.0-r0.apk                             25-Oct-2024 20:12             2278716
rss-email-doc-0.5.0-r0.apk                         25-Oct-2024 20:12                6585
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17                8984
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17                2823
rtaudio-6.0.1-r0.apk                               17-Apr-2025 23:03               44554
rtaudio-dev-6.0.1-r0.apk                           17-Apr-2025 23:03               65216
rtaudio-doc-6.0.1-r0.apk                           17-Apr-2025 23:03              198622
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 20:12               65610
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 20:12                8353
rtl8812au-src-5.6.4.2_git20231103-r1.apk           05-Jan-2025 21:12             2733939
rtl8821ce-src-5_git20250331-r0.apk                 07-Apr-2025 18:35             4460634
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 20:12             4189232
rtmidi-6.0.0-r0.apk                                25-Oct-2024 20:12               32748
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 20:12               14454
rtptools-1.22-r2.apk                               25-Oct-2024 20:12               30450
rtptools-doc-1.22-r2.apk                           25-Oct-2024 20:12               12917
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 20:12              776974
ruby-build-20250418-r0.apk                         24-Apr-2025 08:47               92770
ruby-build-doc-20250418-r0.apk                     24-Apr-2025 08:47                4900
ruby-build-runtime-20250418-r0.apk                 24-Apr-2025 08:47                1343
ruby-dry-inflector-1.1.0-r1.apk                    23-Apr-2025 21:27                8438
ruby-dry-inflector-doc-1.1.0-r1.apk                23-Apr-2025 21:27                2313
ruby-facter-4.9.0-r1.apk                           23-Apr-2025 21:27              223389
ruby-hashdiff-1.1.1-r1.apk                         23-Apr-2025 21:27                8618
ruby-hashdiff-doc-1.1.1-r1.apk                     23-Apr-2025 21:27                2300
ruby-libguestfs-1.52.0-r1.apk                      25-Oct-2024 20:12              107656
ruff-lsp-0.0.62-r0.apk                             06-Mar-2025 22:29               21784
ruff-lsp-pyc-0.0.62-r0.apk                         06-Mar-2025 22:29               35823
runst-0.1.7-r0.apk                                 25-Oct-2024 20:12             1601811
runst-doc-0.1.7-r0.apk                             25-Oct-2024 20:12                7903
rust-script-0.35.0-r0.apk                          27-Oct-2024 16:29              942412
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 20:12             2529882
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 20:12                2304
rustic-0.9.3-r0.apk                                25-Oct-2024 20:12             6247157
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 20:12                8818
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 20:12               17068
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 20:12               13341
rustscan-2.3.0-r0.apk                              25-Oct-2024 20:12             1371512
ruuvi-prometheus-0.1.9-r1.apk                      12-Apr-2025 03:09             3704059
ruuvi-prometheus-openrc-0.1.9-r1.apk               12-Apr-2025 03:09                1717
rvlprog-0.91-r2.apk                                25-Oct-2024 20:12               29859
ry-0.5.2-r1.apk                                    25-Oct-2024 20:12                4731
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 20:12                2000
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 20:12                2312
rygel-0.44.2-r0.apk                                19-Mar-2025 12:55              820569
rygel-dev-0.44.2-r0.apk                            19-Mar-2025 12:55               43623
rygel-doc-0.44.2-r0.apk                            19-Mar-2025 12:55                9844
rygel-lang-0.44.2-r0.apk                           19-Mar-2025 12:55              580777
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 20:12               62359
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 20:12                8727
s-postgray-0.8.3-r0.apk                            25-Oct-2024 20:12               51484
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 20:12                9816
s5cmd-2.3.0-r2.apk                                 12-Apr-2025 03:09             5599134
saait-0.8-r0.apk                                   25-Oct-2024 20:12                7329
saait-doc-0.8-r0.apk                               25-Oct-2024 20:12               13009
sacc-1.07-r0.apk                                   25-Oct-2024 20:12               16241
sacc-doc-1.07-r0.apk                               25-Oct-2024 20:12                2936
sandbar-0.1-r0.apk                                 25-Oct-2024 20:12               14634
satellite-1.0.0-r27.apk                            12-Apr-2025 03:09             2458815
satellite-doc-1.0.0-r27.apk                        12-Apr-2025 03:09                3096
satellite-openrc-1.0.0-r27.apk                     12-Apr-2025 03:09                1946
sauerbraten-2020.12.29-r4.apk                      20-Feb-2025 18:40           979346740
sbase-0_git20210730-r3.apk                         25-Oct-2024 20:12              121748
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 20:12               59639
sblg-0.5.11-r0.apk                                 25-Oct-2024 20:12               48126
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 20:12             1410349
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 20:12               56020
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 20:12               22796
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 20:12               35407
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 20:12              115011
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 20:12                4634
sc-controller-0.5.1-r0.apk                         26-Mar-2025 22:43             1325529
sc-controller-pyc-0.5.1-r0.apk                     26-Mar-2025 22:43              832883
sc-im-0.8.4-r1.apk                                 16-Apr-2025 17:37              169443
sc-im-doc-0.8.4-r1.apk                             16-Apr-2025 17:37                4885
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:44            11262630
scalingo-1.30.0-r9.apk                             12-Apr-2025 03:09             5838245
scap-workbench-1.2.1-r3.apk                        25-Oct-2024 20:12              254890
scap-workbench-doc-1.2.1-r3.apk                    25-Oct-2024 20:12             1664241
schismtracker-20231029-r0.apk                      25-Oct-2024 20:12              376439
schismtracker-doc-20231029-r0.apk                  25-Oct-2024 20:12                6396
scooper-1.3-r1.apk                                 25-Oct-2024 20:12              515774
scooper-doc-1.3-r1.apk                             25-Oct-2024 20:12                2651
screen-message-0.29-r0.apk                         13-Apr-2025 11:54               10833
screen-message-doc-0.29-r0.apk                     13-Apr-2025 11:54                3738
screenkey-1.5-r6.apk                               25-Oct-2024 20:12               78840
screenkey-doc-1.5-r6.apk                           25-Oct-2024 20:12               11283
screenkey-pyc-1.5-r6.apk                           25-Oct-2024 20:12               75119
sct-2018.12.18-r1.apk                              25-Oct-2024 20:12                3872
sdl3_image-3.2.4-r0.apk                            24-Mar-2025 20:26               79613
sdl3_image-dev-3.2.4-r0.apk                        24-Mar-2025 20:26               12343
sdl3_image-doc-3.2.4-r0.apk                        24-Mar-2025 20:26                2112
sdparm-1.12-r1.apk                                 25-Oct-2024 20:12              150470
sdparm-doc-1.12-r1.apk                             25-Oct-2024 20:12               19790
seaweedfs-3.80-r4.apk                              12-Apr-2025 03:09            26213086
seaweedfs-doc-3.80-r4.apk                          12-Apr-2025 03:09               13948
seaweedfs-openrc-3.80-r4.apk                       12-Apr-2025 03:09                1955
secsipidx-1.3.2-r11.apk                            12-Apr-2025 03:09             3013279
secsipidx-dev-1.3.2-r11.apk                        12-Apr-2025 03:09             5533420
secsipidx-libs-1.3.2-r11.apk                       12-Apr-2025 03:09             2713153
sedutil-1.15.1-r1.apk                              25-Oct-2024 20:12              200066
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 20:12                3138
seed7-05.20240322-r0.apk                           25-Oct-2024 20:12            10534673
seed7-doc-05.20240322-r0.apk                       25-Oct-2024 20:12             1679985
seed7-nano-05.20240322-r0.apk                      25-Oct-2024 20:12                2530
seed7-vim-05.20240322-r0.apk                       25-Oct-2024 20:12                4115
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 20:12               43917
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 20:12                2663
sentinel-proxy-2.1.0-r0.apk                        25-Oct-2024 20:12               44935
sentinel-proxy-dev-2.1.0-r0.apk                    25-Oct-2024 20:12                4728
sentinel-proxy-openrc-2.1.0-r0.apk                 25-Oct-2024 20:12                2341
serialdv-1.1.4-r1.apk                              08-Feb-2025 23:44                7446
serialdv-dev-1.1.4-r1.apk                          08-Feb-2025 23:44                5258
serialdv-libs-1.1.4-r1.apk                         08-Feb-2025 23:44               71773
serie-0.4.4-r0.apk                                 27-Feb-2025 18:27              954873
serie-doc-0.4.4-r0.apk                             27-Feb-2025 18:27                6642
setroot-2.0.2-r1.apk                               25-Oct-2024 20:12               12167
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 20:12                4551
sflowtool-6.02-r0.apk                              25-Oct-2024 20:12               41521
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 20:12                9581
sfwbar-1.0_beta16-r1.apk                           12-Dec-2024 19:02              281337
sfwbar-doc-1.0_beta16-r1.apk                       12-Dec-2024 19:02               27085
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 20:12             2765194
shc-4.0.3-r2.apk                                   25-Oct-2024 20:12               16808
shellinabox-2.21-r3.apk                            25-Oct-2024 20:12              121280
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 20:12               19819
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 20:12                3606
shfm-0.4.2-r1.apk                                  25-Oct-2024 20:12                4138
shfm-doc-0.4.2-r1.apk                              25-Oct-2024 20:12                6287
shine-3.1.1-r0.apk                                 25-Oct-2024 20:12               56933
shipments-0.3.0-r0.apk                             25-Oct-2024 20:12               24035
shntool-3.0.10-r5.apk                              24-Feb-2025 21:15               57476
shntool-doc-3.0.10-r5.apk                          24-Feb-2025 21:15               10516
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 20:12                1854
sigma-0.23.1-r1.apk                                25-Oct-2024 20:12              242460
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 20:12              348177
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 20:12               44303
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 20:12                8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 20:12               13385
silc-client-1.1.11-r17.apk                         25-Oct-2024 20:12              896244
silc-client-doc-1.1.11-r17.apk                     25-Oct-2024 20:12               84759
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:14             2488306
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:14              413780
simh-3.11.1-r1.apk                                 25-Oct-2024 20:12             3349633
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 12:28              457398
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 12:28              489266
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 12:28              493562
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28              496611
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 12:28              494600
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 12:28              516344
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 12:28              532449
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:28              538937
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 12:28              521535
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:28              547860
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:28              560592
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:28              540220
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:28              550237
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:28              545438
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:28              557502
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:28              542605
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:28              552886
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 12:28              495530
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 12:28              501310
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 12:28               14162
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 12:28              537583
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:28              549842
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 12:28              529525
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:28              539237
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 12:28              459265
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 12:28              498410
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28              503994
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 12:28              460332
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:28              462265
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 12:28              548480
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:28              561722
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 12:28              530306
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:28              537276
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 12:28              546287
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:28              551327
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:28              563806
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:28              558209
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:28              550798
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:28              563388
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:28              536265
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:28              547854
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:28              543815
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:28              555051
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 12:28              542628
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:28              553934
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:28              532317
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:28              539546
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:28              546743
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:28              558730
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 12:28              541959
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 12:28              553118
simpleble-0.8.1-r0.apk                             25-Feb-2025 22:20                1193
simpleble-dev-0.8.1-r0.apk                         25-Feb-2025 22:20               24220
sing-box-1.11.7-r1.apk                             12-Apr-2025 03:09            12705671
sing-box-bash-completion-1.11.7-r1.apk             12-Apr-2025 03:09                5271
sing-box-fish-completion-1.11.7-r1.apk             12-Apr-2025 03:09                4460
sing-box-openrc-1.11.7-r1.apk                      12-Apr-2025 03:09                2134
sing-box-zsh-completion-1.11.7-r1.apk              12-Apr-2025 03:09                4167
sing-geoip-20250312-r0.apk                         12-Mar-2025 12:13             2190598
sing-geosite-20250407044718-r0.apk                 11-Apr-2025 18:13             1156854
singular-4.4.1-r1.apk                              25-Mar-2025 12:08            10712042
singular-dev-4.4.1-r1.apk                          25-Mar-2025 12:08              372231
singular-doc-4.4.1-r1.apk                          25-Mar-2025 12:08             1401949
singular-emacs-4.4.1-r1.apk                        25-Mar-2025 12:08              103815
singular-static-4.4.1-r1.apk                       25-Mar-2025 12:08             5659424
sipexer-1.2.0-r2.apk                               12-Apr-2025 03:09             3112738
sipgrep-2.2.0-r1.apk                               25-Oct-2024 20:12               27175
siril-1.2.6-r0.apk                                 02-Feb-2025 03:03             2992272
siril-doc-1.2.6-r0.apk                             02-Feb-2025 03:03               18139
siril-lang-1.2.6-r0.apk                            02-Feb-2025 03:03             1629623
sish-2.16.1-r4.apk                                 12-Apr-2025 03:09             8677825
sish-openrc-2.16.1-r4.apk                          12-Apr-2025 03:09                1955
slidge-0.1.3-r0.apk                                25-Oct-2024 20:12              148084
slidge-doc-0.1.3-r0.apk                            25-Oct-2024 20:12                4649
slidge-matridge-0.1.0-r0.apk                       25-Oct-2024 20:12               30400
slidge-matridge-openrc-0.1.0-r0.apk                25-Oct-2024 20:12                1544
slidge-matridge-pyc-0.1.0-r0.apk                   25-Oct-2024 20:12               38832
slidge-openrc-0.1.3-r0.apk                         25-Oct-2024 20:12                2369
slidge-pyc-0.1.3-r0.apk                            25-Oct-2024 20:12              291848
sloccount-2.26-r3.apk                              25-Oct-2024 20:12               60822
sloccount-doc-2.26-r3.apk                          25-Oct-2024 20:12               60791
slurm-0.4.4-r0.apk                                 25-Oct-2024 20:12               14479
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 20:12                2314
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56               74142
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56               71920
smile-2.10.1-r0.apk                                11-Apr-2025 18:13              737875
smile-lang-2.10.1-r0.apk                           11-Apr-2025 18:13               25719
smplxmpp-0.9.3-r4.apk                              18-Dec-2024 12:06              160160
smplxmpp-doc-0.9.3-r4.apk                          18-Dec-2024 12:06               25367
snapper-0.12.1-r0.apk                              13-Feb-2025 07:32             1069112
snapper-bash-completion-0.12.1-r0.apk              13-Feb-2025 07:32                3123
snapper-dev-0.12.1-r0.apk                          13-Feb-2025 07:32               10576
snapper-doc-0.12.1-r0.apk                          13-Feb-2025 07:32               25455
snapper-lang-0.12.1-r0.apk                         13-Feb-2025 07:32              201017
snapper-zsh-completion-0.12.1-r0.apk               13-Feb-2025 07:32                3591
snapraid-12.3-r0.apk                               25-Oct-2024 20:12              278996
snapraid-doc-12.3-r0.apk                           25-Oct-2024 20:12               17701
sndfile-tools-1.5-r1.apk                           25-Oct-2024 20:12               40243
sndfile-tools-doc-1.5-r1.apk                       25-Oct-2024 20:12              369659
snikket-sdk-0_git20250120-r0.apk                   22-Mar-2025 14:35             3758519
snippets-ls-0.0.4_git20240617-r4.apk               12-Apr-2025 03:09             1441564
snore-0.3.1-r0.apk                                 25-Oct-2024 20:12                4571
snore-doc-0.3.1-r0.apk                             25-Oct-2024 20:12                3142
so-0.4.10-r0.apk                                   25-Oct-2024 20:12             2113678
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11               52349
soapy-hackrf-0.3.4-r2.apk                          25-Oct-2024 20:12               31369
soapy-sdr-remote-0.5.2-r1.apk                      25-Oct-2024 20:12              219456
soapy-sdr-remote-doc-0.5.2-r1.apk                  25-Oct-2024 20:12                2433
soapy-sdr-remote-openrc-0.5.2-r1.apk               25-Oct-2024 20:12                1757
solanum-6.0.0-r0.apk                               11-Apr-2025 18:13              266796
solanum-lang-6.0.0-r0.apk                          11-Apr-2025 18:13               48461
solarus-engine-1.7.0-r1.apk                        20-Feb-2025 18:40             2320206
solarus-engine-doc-1.7.0-r1.apk                    20-Feb-2025 18:40                3375
solarus-quest-editor-1.7.0-r1.apk                  20-Feb-2025 18:40            58219211
somebar-1.0.3-r0.apk                               25-Oct-2024 20:12               50807
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 20:12                2480
sonicradio-0.6.13-r1.apk                           12-Apr-2025 03:09             3339448
sopwith-2.5.0-r0.apk                               25-Oct-2024 20:12               49391
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 20:12               15229
soqt-1.6.3-r0.apk                                  13-Dec-2024 20:44              244322
soqt-dev-1.6.3-r0.apk                              13-Dec-2024 20:44               85883
soqt-doc-1.6.3-r0.apk                              13-Dec-2024 20:44              902314
sos-0.8-r30.apk                                    12-Apr-2025 03:09             2997459
soundconverter-4.0.6-r0.apk                        12-Nov-2024 15:33              168625
soundconverter-doc-4.0.6-r0.apk                    12-Nov-2024 15:33                4588
soundconverter-lang-4.0.6-r0.apk                   12-Nov-2024 15:33              109395
soundconverter-pyc-4.0.6-r0.apk                    12-Nov-2024 15:33               76641
spacectl-1.9.0-r2.apk                              12-Apr-2025 03:09             5810815
spacectl-bash-completion-1.9.0-r2.apk              12-Apr-2025 03:09                2103
spacectl-doc-1.9.0-r2.apk                          12-Apr-2025 03:09                2346
spacectl-fish-completion-1.9.0-r2.apk              12-Apr-2025 03:09                6976
spacectl-zsh-completion-1.9.0-r2.apk               12-Apr-2025 03:09                1854
spacer-0.3.8-r0.apk                                28-Feb-2025 06:10              958796
spacer-doc-0.3.8-r0.apk                            28-Feb-2025 06:10                3087
spark-2.8.3-r1.apk                                 25-Oct-2024 20:12            30331683
speedcrunch-0.12-r3.apk                            25-Oct-2024 20:12             1238154
speedtest-5.2.5-r1.apk                             25-Oct-2024 20:12              259560
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 20:12               18848
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 20:12               13277
speedtest-go-1.1.5-r14.apk                         12-Apr-2025 03:09             5928722
speedtest-go-doc-1.1.5-r14.apk                     12-Apr-2025 03:09                4620
speedtest-go-openrc-1.1.5-r14.apk                  12-Apr-2025 03:09                1777
speedtest_exporter-0.3.2-r14.apk                   12-Apr-2025 03:09             4278393
speedtest_exporter-openrc-0.3.2-r14.apk            12-Apr-2025 03:09                1903
spice-html5-0.3.0-r1.apk                           25-Oct-2024 20:12              448979
spike-1.1.0-r0.apk                                 25-Oct-2024 20:12             1147056
spin-6.5.2-r1.apk                                  25-Oct-2024 20:12              331915
spin-doc-6.5.2-r1.apk                              25-Oct-2024 20:12                6029
spiped-1.6.2-r1.apk                                25-Oct-2024 20:12               81701
spiritvnc-0.6.5-r0.apk                             03-Nov-2024 05:09               50378
spnavcfg-1.1-r0.apk                                25-Oct-2024 20:12               39498
spotify-player-0.20.4-r0.apk                       02-Mar-2025 15:56             4530904
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 20:12             1955266
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 20:12               47082
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 20:12              178557
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 20:12              334897
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 20:12                4750
spvm-errno-0.093-r0.apk                            23-Jan-2025 08:22               17803
spvm-errno-doc-0.093-r0.apk                        23-Jan-2025 08:22                6035
spvm-math-1.006-r0.apk                             04-Feb-2025 07:32               23858
spvm-math-doc-1.006-r0.apk                         04-Feb-2025 07:32                7012
spvm-mime-base64-1.003-r0.apk                      04-Feb-2025 07:32               16150
spvm-mime-base64-doc-1.003-r0.apk                  04-Feb-2025 07:32                5474
spvm-thread-0.003-r0.apk                           04-Feb-2025 07:32               11840
spvm-thread-doc-0.003-r0.apk                       04-Feb-2025 07:32                5922
sqlar-0_git20180107-r1.apk                         25-Oct-2024 20:12               13549
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 20:12                3390
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 20:12               90220
sqlmap-1.9.4-r0.apk                                13-Apr-2025 23:24             7103017
sqlmap-pyc-1.9.4-r0.apk                            13-Apr-2025 23:24             1220495
sqlx-0.8.5-r0.apk                                  17-Apr-2025 20:20             1860148
sqlx-bash-completion-0.8.5-r0.apk                  17-Apr-2025 20:20                3091
sqlx-doc-0.8.5-r0.apk                              17-Apr-2025 20:20                6142
sqlx-fish-completion-0.8.5-r0.apk                  17-Apr-2025 20:20                3633
sqlx-zsh-completion-0.8.5-r0.apk                   17-Apr-2025 20:20                4203
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 20:12               20963
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:32             2103536
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:32                8853
srain-1.8.0-r0.apk                                 18-Nov-2024 19:18              165098
srain-lang-1.8.0-r0.apk                            18-Nov-2024 19:18               35895
srb2-2.2.15-r1.apk                                 11-Apr-2025 18:13             1871705
srb2-data-2.2.15-r1.apk                            11-Apr-2025 18:13           167342806
sregex-0.0.1-r1.apk                                25-Oct-2024 20:12               23111
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 20:12               27875
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 20:12               99559
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 20:12               18902
ssh-cert-authority-2.0.0-r25.apk                   12-Apr-2025 03:09             5412800
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 20:12                8687
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 20:12                2106
ssh-tools-1.8-r0.apk                               25-Oct-2024 20:12               26213
sshs-4.7.2-r0.apk                                  23-Apr-2025 22:52              760825
sshsrv-1.0-r11.apk                                 12-Apr-2025 03:09             1047187
sshuttle-1.1.2-r0.apk                              25-Oct-2024 20:12               63742
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 20:12                8683
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 20:12              103116
sssd-2.10.2-r1.apk                                 21-Mar-2025 19:33             2163718
sssd-dev-2.10.2-r1.apk                             21-Mar-2025 19:33               13731
sssd-openrc-2.10.2-r1.apk                          21-Mar-2025 19:33                1661
ssss-0.5.7-r0.apk                                  25-Oct-2024 20:12               13208
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 20:12                3419
sstp-client-1.0.20-r1.apk                          01-Dec-2024 15:37               43332
sstp-client-doc-1.0.20-r1.apk                      01-Dec-2024 15:37                4915
stalwart-cli-0.11.6-r0.apk                         03-Feb-2025 21:24             2100710
stalwart-mail-0.11.6-r0.apk                        03-Feb-2025 21:24            14533110
stalwart-mail-openrc-0.11.6-r0.apk                 03-Feb-2025 21:24                2050
stardict-3.0.6-r6.apk                              25-Oct-2024 20:12             1000632
stardict-doc-3.0.6-r6.apk                          25-Oct-2024 20:12                2291
stardict-help-3.0.6-r6.apk                         25-Oct-2024 20:12             3560019
stardict-lang-3.0.6-r6.apk                         25-Oct-2024 20:12              296455
starfighter-2.4-r0.apk                             25-Oct-2024 20:12            50191439
starfighter-doc-2.4-r0.apk                         25-Oct-2024 20:12               22119
startup-2.0.3-r5.apk                               25-Oct-2024 20:12              420740
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 20:12               30996
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 20:12               30807
startup-dev-2.0.3-r5.apk                           25-Oct-2024 20:12                5983
startup-doc-2.0.3-r5.apk                           25-Oct-2024 20:12               48736
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 20:12                5511
startup-lang-2.0.3-r5.apk                          25-Oct-2024 20:12               16940
startup-tools-2.0.3-r5.apk                         25-Oct-2024 20:12               13632
stayrtr-0.6.2-r2.apk                               12-Apr-2025 03:09            11724790
stayrtr-openrc-0.6.2-r2.apk                        12-Apr-2025 03:09                2018
steamguard-cli-0.9.6-r0.apk                        25-Oct-2024 20:12             2324174
steamguard-cli-bash-completion-0.9.6-r0.apk        25-Oct-2024 20:12                2572
steamguard-cli-zsh-completion-0.9.6-r0.apk         25-Oct-2024 20:12                3654
steghide-0.5.1.1-r0.apk                            25-Oct-2024 20:12              164887
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 20:12               14050
stern-1.32.0-r3.apk                                12-Apr-2025 03:09            19959702
stern-bash-completion-1.32.0-r3.apk                12-Apr-2025 03:09                5984
stern-fish-completion-1.32.0-r3.apk                12-Apr-2025 03:09                4424
stern-zsh-completion-1.32.0-r3.apk                 12-Apr-2025 03:09                4146
sthttpd-2.27.1-r2.apk                              25-Oct-2024 20:12               63619
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 20:12               18662
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 20:12                1998
stockfish-17-r0.apk                                03-Mar-2025 22:41            64799672
stone-soup-0.32.1-r0.apk                           25-Oct-2024 20:12            34576553
stubbyboot-1.0.4-r0.apk                            10-Jan-2025 12:38                3269
stubbyboot-efistub-1.0.4-r0.apk                    10-Jan-2025 12:38               25538
sturmreader-3.7.2-r1.apk                           29-Jan-2025 19:46             1108981
sturmreader-lang-3.7.2-r1.apk                      29-Jan-2025 19:46               39838
stw-0.3-r0.apk                                     25-Oct-2024 20:12                8170
stw-doc-0.3-r0.apk                                 25-Oct-2024 20:12                2588
subdl-0_git20230616-r1.apk                         25-Oct-2024 20:12                8894
subdl-pyc-0_git20230616-r1.apk                     25-Oct-2024 20:12               14458
sublime-music-0.12.0-r1.apk                        25-Oct-2024 20:12              194059
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 20:12              309430
subliminal-2.2.1-r0.apk                            25-Oct-2024 20:12               69955
subliminal-pyc-2.2.1-r0.apk                        25-Oct-2024 20:12              137849
subtitleeditor-0.54.0-r3.apk                       25-Oct-2024 20:12             1547874
subtitleeditor-dev-0.54.0-r3.apk                   25-Oct-2024 20:12                1560
subtitleeditor-doc-0.54.0-r3.apk                   25-Oct-2024 20:12                2937
sudo-ldap-1.9.14-r1.apk                            25-Oct-2024 20:12              696530
supercollider-3.13.0-r6.apk                        08-Feb-2025 23:44             8377106
supercollider-dev-3.13.0-r6.apk                    08-Feb-2025 23:44               40144
supermin-5.2.2-r2.apk                              25-Oct-2024 20:12              505090
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 20:12                9587
supersonik-0.1.0-r2.apk                            13-Apr-2025 13:26             1103017
surf-2.1-r3.apk                                    25-Oct-2024 20:12               23088
surf-doc-2.1-r3.apk                                25-Oct-2024 20:12                4747
surfraw-2.3.0-r0.apk                               25-Oct-2024 20:12               80619
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 20:12               18132
suru-icon-theme-2024.10.13-r0.apk                  26-Jan-2025 00:12             3044022
svgbob-0.7.6-r0.apk                                01-Feb-2025 19:51              478659
svls-0.2.12-r0.apk                                 25-Oct-2024 20:12             3529375
svls-doc-0.2.12-r0.apk                             25-Oct-2024 20:12                2281
swaks-20240103.0-r0.apk                            25-Oct-2024 20:12               67674
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 20:12               50835
swappy-1.5.1-r0.apk                                25-Oct-2024 20:12               33861
swappy-doc-1.5.1-r0.apk                            25-Oct-2024 20:12                3786
swappy-lang-1.5.1-r0.apk                           25-Oct-2024 20:12                3728
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 20:12               10467
swayhide-0.2.1-r2.apk                              25-Oct-2024 20:12              269285
swhkd-1.2.1-r0.apk                                 25-Oct-2024 20:12             1111468
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 20:12                6322
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:10             5194132
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:10             2153285
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:10               22991
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:10              943956
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:10             1089771
swig3-3.0.12-r3.apk                                25-Oct-2024 20:12             1299880
swig3-doc-3.0.12-r3.apk                            25-Oct-2024 20:12                3737
sxcs-1.1.0-r0.apk                                  25-Oct-2024 20:12                8547
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 20:12                2699
sydbox-3.21.3-r0.apk                               25-Oct-2024 20:12             1466249
sydbox-doc-3.21.3-r0.apk                           25-Oct-2024 20:12               85912
sydbox-oci-3.21.3-r0.apk                           25-Oct-2024 20:12             1914765
sydbox-utils-3.21.3-r0.apk                         25-Oct-2024 20:12             6568225
sydbox-vim-3.21.3-r0.apk                           25-Oct-2024 20:12                5352
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 20:12                8730
symbiyosys-0.36-r0.apk                             25-Oct-2024 20:12               38479
symengine-0.12.0-r0.apk                            25-Oct-2024 20:12             3053435
symlinks-1.4.3-r0.apk                              21-Apr-2025 21:27                6230
symlinks-doc-1.4.3-r0.apk                          21-Apr-2025 21:27                3941
synapse-bt-1.0-r4.apk                              25-Oct-2024 20:12             1127331
synapse-bt-cli-1.0-r4.apk                          25-Oct-2024 20:12             1037687
synapse-bt-openrc-1.0-r4.apk                       25-Oct-2024 20:12                1862
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 20:12              450509
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 20:12                2254
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 20:12              225889
systemd-boot-257.3-r2.apk                          20-Mar-2025 03:18               51925
systemd-efistub-257.3-r2.apk                       20-Mar-2025 03:18               89402
t2sz-1.1.2-r0.apk                                  25-Oct-2024 20:12                9696
tabby-3.1-r1.apk                                   25-Oct-2024 20:12               31777
tabby-doc-3.1-r1.apk                               25-Oct-2024 20:12                2307
tabiew-0.7.1-r0.apk                                25-Nov-2024 21:51             8120262
tachyon-0.99_beta6-r1.apk                          25-Oct-2024 20:12              118766
tachyon-scenes-0.99_beta6-r1.apk                   25-Oct-2024 20:12             2027062
tailspin-3.0.0-r0.apk                              25-Oct-2024 20:12             1206139
tailspin-bash-completion-3.0.0-r0.apk              25-Oct-2024 20:12                2290
tailspin-doc-3.0.0-r0.apk                          25-Oct-2024 20:12                2771
tailspin-fish-completion-3.0.0-r0.apk              25-Oct-2024 20:12                2109
tailspin-zsh-completion-3.0.0-r0.apk               25-Oct-2024 20:12                2524
tang-15-r0.apk                                     20-Jan-2025 04:17               16186
tang-dbg-15-r0.apk                                 20-Jan-2025 04:17               31380
tang-doc-15-r0.apk                                 20-Jan-2025 04:17               21061
tang-openrc-15-r0.apk                              20-Jan-2025 04:17                1935
tangara-companion-0.4.3-r0.apk                     17-Dec-2024 20:16             1081807
tangctl-0_git20241007-r3.apk                       12-Apr-2025 03:09             2879000
tanidvr-1.4.1-r2.apk                               21-Mar-2025 11:52               23177
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 11:52               12116
tanka-0.31.3-r1.apk                                12-Apr-2025 03:09             4745865
tartube-2.5.0-r1.apk                               25-Oct-2024 20:12             2874301
tartube-pyc-2.5.0-r1.apk                           25-Oct-2024 20:12             1123635
taskcafe-0.3.6-r12.apk                             12-Apr-2025 03:09            15017830
taskcafe-openrc-0.3.6-r12.apk                      12-Apr-2025 03:09                1864
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 10:24             1255294
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 10:24                2029
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 10:24                4034
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 10:24                1777
tauri-cli-2.4.0-r0.apk                             01-Apr-2025 21:58             7831637
tayga-0.9.2-r0.apk                                 25-Oct-2024 20:12               25446
tayga-doc-0.9.2-r0.apk                             25-Oct-2024 20:12                5704
tcc-0.9.27_git20250106-r0.apk                      02-Feb-2025 03:02               11304
tcc-dev-0.9.27_git20250106-r0.apk                  02-Feb-2025 03:02               46851
tcc-doc-0.9.27_git20250106-r0.apk                  02-Feb-2025 03:02               51005
tcc-libs-0.9.27_git20250106-r0.apk                 02-Feb-2025 03:02              139134
tcc-libs-static-0.9.27_git20250106-r0.apk          02-Feb-2025 03:02               10609
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 20:12               32925
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 20:12               38561
tcl9-9.0.1-r0.apk                                  22-Dec-2024 06:22             1972088
tcl9-dev-9.0.1-r0.apk                              22-Dec-2024 06:22              187580
tcl9-doc-9.0.1-r0.apk                              22-Dec-2024 06:22             1442303
tdrop-0.5.0-r0.apk                                 25-Oct-2024 20:12               12105
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 20:12                9064
tealdeer-1.7.2-r0.apk                              23-Mar-2025 13:20              926663
tealdeer-bash-completion-1.7.2-r0.apk              23-Mar-2025 13:20                2013
tealdeer-fish-completion-1.7.2-r0.apk              23-Mar-2025 13:20                2261
tealdeer-zsh-completion-1.7.2-r0.apk               23-Mar-2025 13:20                2371
telegram-bot-api-9.0-r0.apk                        14-Apr-2025 01:10             7774035
telegram-tdlib-1.8.47-r0.apk                       14-Apr-2025 01:06             7793963
telegram-tdlib-dev-1.8.47-r0.apk                   14-Apr-2025 01:06              186506
telegram-tdlib-static-1.8.47-r0.apk                14-Apr-2025 01:06            20451695
templ-0.3.850-r1.apk                               12-Apr-2025 03:09             5101297
tenv-4.2.4-r2.apk                                  12-Apr-2025 03:09            10260011
tenv-bash-completion-4.2.4-r2.apk                  12-Apr-2025 03:09                5188
tenv-fish-completion-4.2.4-r2.apk                  12-Apr-2025 03:09                4430
tenv-zsh-completion-4.2.4-r2.apk                   12-Apr-2025 03:09                4142
tere-1.6.0-r0.apk                                  25-Oct-2024 20:12             1045880
tere-doc-1.6.0-r0.apk                              25-Oct-2024 20:12               14322
termbox-1.1.2-r1.apk                               25-Oct-2024 20:12               11610
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 20:12                5872
termbox-static-1.1.2-r1.apk                        25-Oct-2024 20:12               12655
termcolor-2.1.0-r0.apk                             25-Oct-2024 20:12                1505
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 20:12                6999
termusic-mpv-0.7.11-r0.apk                         25-Oct-2024 20:12             6340455
texlab-5.19.0-r0.apk                               25-Oct-2024 20:12             9052775
tfupdate-0.8.2-r5.apk                              12-Apr-2025 03:09             5295523
tfupdate-doc-0.8.2-r5.apk                          12-Apr-2025 03:09                2320
theforceengine-1.09.540-r1.apk                     25-Oct-2024 20:12             7322396
theforceengine-doc-1.09.540-r1.apk                 25-Oct-2024 20:12             6631416
thefuck-3.32-r5.apk                                25-Oct-2024 20:12               85336
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 20:12              159462
thelounge-4.4.3-r0.apk                             25-Oct-2024 20:12            29353094
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 20:12                2357
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 20:12                2085
theme.sh-1.1.5-r0.apk                              25-Oct-2024 20:12               40015
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 20:12                2377
thermald-2.5.9-r0.apk                              25-Apr-2025 06:18              185640
thermald-doc-2.5.9-r0.apk                          25-Apr-2025 06:18                8861
thermald-openrc-2.5.9-r0.apk                       25-Apr-2025 06:18                1809
throttled-0.10.0-r1.apk                            15-Dec-2024 19:26               14902
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:26                1644
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:26               29049
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 20:12               11208
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 20:12               26267
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 20:12               10282
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 20:12               25809
tick-1.2.2-r0.apk                                  14-Jan-2025 01:26               10994
tick-doc-1.2.2-r0.apk                              14-Jan-2025 01:26                5595
ticker-4.8.1-r1.apk                                12-Apr-2025 03:09             4437363
ticker-bash-completion-4.8.1-r1.apk                12-Apr-2025 03:09                4690
ticker-fish-completion-4.8.1-r1.apk                12-Apr-2025 03:09                3981
ticker-zsh-completion-4.8.1-r1.apk                 12-Apr-2025 03:09                3820
timeshift-24.06.6-r0.apk                           23-Apr-2025 22:52              484184
timeshift-doc-24.06.6-r0.apk                       23-Apr-2025 22:52                3240
timeshift-lang-24.06.6-r0.apk                      23-Apr-2025 22:52              938853
timew-1.4.3-r1.apk                                 25-Oct-2024 20:12              300608
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 20:12                2829
timew-doc-1.4.3-r1.apk                             25-Oct-2024 20:12               54426
timewarrior-1.7.1-r0.apk                           25-Oct-2024 20:12              297365
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 20:12               22735
timoni-0.23.0-r4.apk                               12-Apr-2025 03:09            25892796
timoni-bash-completion-0.23.0-r4.apk               12-Apr-2025 03:09                8152
timoni-doc-0.23.0-r4.apk                           12-Apr-2025 03:09              346021
timoni-fish-completion-0.23.0-r4.apk               12-Apr-2025 03:09                4422
timoni-zsh-completion-0.23.0-r4.apk                12-Apr-2025 03:09                4143
tintin-2.02.31-r0.apk                              25-Oct-2024 20:12             1897636
tiny-0.13.0-r0.apk                                 01-Jan-2025 18:11              594179
tiny-doc-0.13.0-r0.apk                             01-Jan-2025 18:11                5608
tinycbor-0.6.0-r1.apk                              25-Oct-2024 20:12               17241
tinycbor-dev-0.6.0-r1.apk                          25-Oct-2024 20:12                8570
tinygltf-2.9.4-r0.apk                              19-Jan-2025 11:55              161907
tinygltf-dev-2.9.4-r0.apk                          19-Jan-2025 11:55               58550
tinyscheme-1.42-r1.apk                             25-Oct-2024 20:12               64395
tiptop-2.3.1-r2.apk                                25-Oct-2024 20:12               34566
tiptop-doc-2.3.1-r2.apk                            25-Oct-2024 20:12                7240
tk9-9.0.1-r0.apk                                   22-Dec-2024 06:22              857154
tk9-dev-9.0.1-r0.apk                               22-Dec-2024 06:22               83196
tk9-doc-9.0.1-r0.apk                               22-Dec-2024 06:22             1388724
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09               12403
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09                3589
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09               14512
tmate-2.4.0-r4.apk                                 25-Oct-2024 20:12              303593
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 20:12               73440
tmpl-0.4.0-r10.apk                                 12-Apr-2025 03:09             2961274
tmpl-doc-0.4.0-r10.apk                             12-Apr-2025 03:09                2307
tmpmail-1.2.3-r2.apk                               25-Oct-2024 20:12                7191
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 20:12                3327
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 20:12               14184
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 20:12                8592
tncattach-0.1.9-r1.apk                             25-Oct-2024 20:12               23388
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 20:12                4003
tnef-1.4.18-r0.apk                                 25-Oct-2024 20:12               25705
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 20:12                4326
toapk-1.0-r0.apk                                   25-Oct-2024 20:12               11092
today-6.2.0-r0.apk                                 25-Oct-2024 20:12                3218
today-doc-6.2.0-r0.apk                             25-Oct-2024 20:12                3354
toml2json-1.3.1-r0.apk                             25-Oct-2024 20:12              379233
toml2json-doc-1.3.1-r0.apk                         25-Oct-2024 20:12                3385
tootik-0.15.6-r0.apk                               12-Apr-2025 06:04             4444828
tootik-openrc-0.15.6-r0.apk                        12-Apr-2025 06:04                3205
topgit-0.19.13-r1.apk                              25-Oct-2024 20:12              129698
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 20:12                4122
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 20:12               75193
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 20:12              384986
toss-1.1-r0.apk                                    25-Oct-2024 20:12               11423
toybox-0.8.11-r1.apk                               25-Oct-2024 20:12              291145
tpm2-pkcs11-1.9.1-r0.apk                           25-Oct-2024 20:12              130920
tpm2-pkcs11-dev-1.9.1-r0.apk                       25-Oct-2024 20:12                1916
tpm2-pkcs11-pyc-1.9.1-r0.apk                       25-Oct-2024 20:12               70579
trace-cmd-3.3.1-r1.apk                             19-Jan-2025 11:55              176774
trace-cmd-bash-completion-3.3.1-r1.apk             19-Jan-2025 11:55                3429
trace-cmd-dbg-3.3.1-r1.apk                         19-Jan-2025 11:55              484454
trace-cmd-doc-3.3.1-r1.apk                         19-Jan-2025 11:55              175374
transito-0.9.1-r5.apk                              12-Apr-2025 03:09             8983743
transito-doc-0.9.1-r5.apk                          12-Apr-2025 03:09              773124
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 20:12              154912
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 20:12                4326
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 20:12              108553
trantor-1.5.18-r0.apk                              25-Oct-2024 20:12              258261
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 20:12               34817
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 20:12                2675
tre-0.8.0-r2.apk                                   25-Oct-2024 20:12               27581
tre-dev-0.8.0-r2.apk                               25-Oct-2024 20:12                5322
tre-static-0.8.0-r2.apk                            25-Oct-2024 20:12               28771
tree-sitter-c-sharp-0.23.1-r1.apk                  26-Feb-2025 17:29              352976
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 20:12               71925
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 20:12                2342
tree-sitter-clojure-0.0.12-r0.apk                  25-Oct-2024 20:12               23353
tree-sitter-dart-0_git20250228-r0.apk              10-Mar-2025 22:57              101173
tree-sitter-git-commit-0_git20211225-r3.apk        26-Feb-2025 17:29               13152
tree-sitter-git-diff-0_git20230730-r0.apk          25-Oct-2024 20:12               10197
tree-sitter-git-rebase-0_git20240722-r0.apk        10-Mar-2025 22:57                5346
tree-sitter-gleam-1.0.0-r0.apk                     25-Oct-2024 20:12               43129
tree-sitter-hare-0_git20230616-r1.apk              25-Oct-2024 20:12               33539
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 15:52              290732
tree-sitter-hcl-1.1.0-r1.apk                       25-Oct-2024 20:12               43054
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 20:12               14026
tree-sitter-kotlin-0.3.8-r0.apk                    25-Oct-2024 20:12              325573
tree-sitter-make-0_git20211216-r2.apk              25-Oct-2024 20:12               42011
tree-sitter-nix-0.0.2-r0.apk                       02-Feb-2025 03:19               22317
tree-sitter-nix-doc-0.0.2-r0.apk                   02-Feb-2025 03:19                2249
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 20:12               83266
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 20:12                2324
tree-sitter-php-0.23.12-r0.apk                     19-Mar-2025 11:46               87112
tree-sitter-ron-0.2.0-r0.apk                       25-Oct-2024 20:12               28788
tree-sitter-scheme-0.23.0-r0.apk                   25-Oct-2024 20:12               23548
tree-sitter-scheme-doc-0.23.0-r0.apk               25-Oct-2024 20:12                2290
tree-sitter-ssh-client-config-2025.4.17-r0.apk     17-Apr-2025 18:12               84016
tree-sitter-xml-0.7.0-r0.apk                       14-Nov-2024 13:12               31438
tree-sitter-xml-doc-0.7.0-r0.apk                   14-Nov-2024 13:12                2251
tree-sitter-yaml-0.7.0-r0.apk                      12-Apr-2025 13:06               37832
tree-sitter-yaml-doc-0.7.0-r0.apk                  12-Apr-2025 13:06                2322
tremc-0.9.3-r0.apk                                 25-Oct-2024 20:12               49364
tremc-bash-completion-0.9.3-r0.apk                 25-Oct-2024 20:12                1725
tremc-doc-0.9.3-r0.apk                             25-Oct-2024 20:12                2684
tremc-zsh-completion-0.9.3-r0.apk                  25-Oct-2024 20:12                1646
trigger-rally-0.6.7-r3.apk                         25-Mar-2025 15:24              322891
trigger-rally-data-0.6.7-r3.apk                    25-Mar-2025 15:24           369090778
trigger-rally-doc-0.6.7-r3.apk                     25-Mar-2025 15:24               28809
trippy-0.12.2-r0.apk                               04-Jan-2025 22:30             1997164
trippy-bash-completion-0.12.2-r0.apk               04-Jan-2025 22:30                3183
trippy-zsh-completion-0.12.2-r0.apk                04-Jan-2025 22:30                4783
trivy-0.61.1-r0.apk                                20-Apr-2025 20:13            70047198
tsung-1.8.0-r2.apk                                 25-Oct-2024 20:13              747693
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 20:13               28574
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 20:13              157157
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 20:13                8291
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 20:13               62308
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 20:13              105512
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 20:13                8618
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 20:13                3192
tty-proxy-0.0.2-r27.apk                            12-Apr-2025 03:09             2829219
tty-share-2.4.0-r17.apk                            12-Apr-2025 03:09             4077433
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:47              624295
tui-journal-0.10.0-r0.apk                          25-Oct-2024 20:13             2039721
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 20:13                7060
tuned-2.25.1-r1.apk                                19-Mar-2025 11:46              163228
tuned-bash-completion-2.25.1-r1.apk                19-Mar-2025 11:46                1907
tuned-doc-2.25.1-r1.apk                            19-Mar-2025 11:46               80458
tuned-gtk-2.25.1-r1.apk                            19-Mar-2025 11:46               22452
tuned-openrc-2.25.1-r1.apk                         19-Mar-2025 11:46                1680
tuned-ppd-2.25.1-r1.apk                            19-Mar-2025 11:46                3690
tuned-ppd-openrc-2.25.1-r1.apk                     19-Mar-2025 11:46                1733
tuned-profiles-2.25.1-r1.apk                       19-Mar-2025 11:46                8293
tuned-profiles-compat-2.25.1-r1.apk                19-Mar-2025 11:46                3603
tuned-pyc-2.25.1-r1.apk                            19-Mar-2025 11:46              340634
tuned-utils-2.25.1-r1.apk                          19-Mar-2025 11:46               10757
tup-0.7.11-r0.apk                                  25-Oct-2024 20:13              277599
tup-doc-0.7.11-r0.apk                              25-Oct-2024 20:13               21362
tup-vim-0.7.11-r0.apk                              25-Oct-2024 20:13                2634
tuptime-5.2.4-r1.apk                               28-Nov-2024 22:44               14180
tuptime-doc-5.2.4-r1.apk                           28-Nov-2024 22:44                3834
tuptime-openrc-5.2.4-r1.apk                        28-Nov-2024 22:44                1733
turn-rs-3.4.0-r0.apk                               15-Mar-2025 23:04              611676
turn-rs-doc-3.4.0-r0.apk                           15-Mar-2025 23:04               11022
turn-rs-openrc-3.4.0-r0.apk                        15-Mar-2025 23:04                1949
turnstile-0.1.10-r3.apk                            25-Oct-2024 20:13               40242
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 20:13                5839
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 20:13                1843
twemproxy-0.5.0-r0.apk                             25-Oct-2024 20:13               72381
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 20:13               17620
twiggy-0.6.0-r3.apk                                25-Oct-2024 20:13              811366
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55             2686784
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55                3578
typobuster-1.0.0-r0.apk                            23-Apr-2025 22:52              132458
typos-lsp-0.1.36-r0.apk                            04-Apr-2025 05:40             2599337
typos-lsp-doc-0.1.36-r0.apk                        04-Apr-2025 05:40                2273
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:44              541649
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 20:13              107103
uasm-2.56.2-r0.apk                                 25-Oct-2024 20:13              279591
ubase-20200605-r3.apk                              25-Oct-2024 20:13               47483
ubase-doc-20200605-r3.apk                          25-Oct-2024 20:13               21746
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 20:13               16551
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 20:13                2342
uclient-20210514-r0.apk                            25-Oct-2024 20:13               13845
uclient-dev-20210514-r0.apk                        25-Oct-2024 20:13                3264
uclient-fetch-20210514-r0.apk                      25-Oct-2024 20:13               10479
udpt-3.1.2-r0.apk                                  25-Oct-2024 20:13              733009
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 20:13                1851
ueberzug-18.3.1-r0.apk                             22-Feb-2025 11:09               66178
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 11:09               65297
uhttpd-0_git20250406-r0.apk                        21-Apr-2025 11:30               26924
uhttpd-mod-lua-0_git20250406-r0.apk                21-Apr-2025 11:30                5872
uhttpd-mod-tls-0_git20250406-r0.apk                21-Apr-2025 11:30                1333
uhttpd-mod-ucode-0_git20250406-r0.apk              21-Apr-2025 11:30                7056
uhttpd-openrc-0_git20250406-r0.apk                 21-Apr-2025 11:30                4158
ukify-257.3-r2.apk                                 20-Mar-2025 03:18               21665
ukify-kernel-hook-257.3-r2.apk                     20-Mar-2025 03:18                3595
undock-0.9.0-r4.apk                                12-Apr-2025 03:09            10982413
unicorn-2.0.1-r4.apk                               25-Oct-2024 20:13             8923843
unicorn-dev-2.0.1-r4.apk                           25-Oct-2024 20:13            10529979
unit-php81-1.34.2-r1.apk                           24-Apr-2025 00:44               31643
up-0.4-r28.apk                                     12-Apr-2025 03:09             1280466
upterm-0.14.3-r4.apk                               12-Apr-2025 03:09             6543536
upterm-bash-completion-0.14.3-r4.apk               12-Apr-2025 03:09                5666
upterm-doc-0.14.3-r4.apk                           12-Apr-2025 03:09                6537
upterm-server-0.14.3-r4.apk                        12-Apr-2025 03:09             6420082
upterm-server-openrc-0.14.3-r4.apk                 12-Apr-2025 03:09                1928
upterm-zsh-completion-0.14.3-r4.apk                12-Apr-2025 03:09                4144
uranium-5.2.2-r3.apk                               25-Oct-2024 20:13              609834
urlwatch-2.28-r2.apk                               25-Oct-2024 20:13               49878
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 20:13               33989
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 20:13              103817
usbmuxd-1.1.1-r9.apk                               18-Apr-2025 14:39               35559
usbmuxd-doc-1.1.1-r9.apk                           18-Apr-2025 14:39                3067
usbmuxd-udev-1.1.1-r9.apk                          18-Apr-2025 14:39                2059
usbtop-1.0-r0.apk                                  12-Apr-2025 12:26               14714
ustr-1.0.4-r1.apk                                  25-Oct-2024 20:13               55199
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 20:13               78656
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 20:13               93643
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 20:13               98899
ustr-static-1.0.4-r1.apk                           25-Oct-2024 20:13              150516
ustream-ssl-20220116-r1.apk                        25-Oct-2024 20:13                7906
ustream-ssl-dev-20220116-r1.apk                    25-Oct-2024 20:13                2627
utop-2.9.1-r4.apk                                  25-Oct-2024 20:13            13926322
utop-common-2.9.1-r4.apk                           25-Oct-2024 20:13                2093
utop-doc-2.9.1-r4.apk                              25-Oct-2024 20:13                5886
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 20:13               13146
utop-full-2.9.1-r4.apk                             25-Oct-2024 20:13            13930769
uucp-1.07-r6.apk                                   25-Oct-2024 20:13              490739
uucp-doc-1.07-r6.apk                               25-Oct-2024 20:13              120933
uxn-1.0-r0.apk                                     25-Oct-2024 20:13               43550
uxn-doc-1.0-r0.apk                                 25-Oct-2024 20:13                4282
uxplay-1.71.1-r0.apk                               25-Jan-2025 16:17              225618
uxplay-doc-1.71.1-r0.apk                           25-Jan-2025 16:17                4447
vals-0.39.4-r1.apk                                 12-Apr-2025 03:09            31405915
varnish-modules-0.24.0-r0.apk                      25-Oct-2024 20:13               40117
varnish-modules-doc-0.24.0-r0.apk                  25-Oct-2024 20:13               21854
vbindiff-3.0_beta5-r1.apk                          25-Oct-2024 20:13               22068
vbindiff-doc-3.0_beta5-r1.apk                      25-Oct-2024 20:13                5586
vcdimager-2.0.1-r5.apk                             22-Jan-2025 10:33              488645
vcdimager-dev-2.0.1-r5.apk                         22-Jan-2025 10:33              122535
vcdimager-doc-2.0.1-r5.apk                         22-Jan-2025 10:33               76074
vcsh-2.0.5-r0.apk                                  25-Oct-2024 20:13                9006
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 20:13                2994
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 20:13               27847
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 20:13                2997
vcstool-0.3.0-r5.apk                               25-Oct-2024 20:13               35638
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 20:13                1799
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 20:13               59109
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 20:13                1676
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 20:13                1768
vdr-plugin-dvbapi-2.2.5-r0.apk                     25-Oct-2024 20:13               63783
vdr-plugin-dvbapi-lang-2.2.5-r0.apk                25-Oct-2024 20:13                2571
vectoroids-1.1.0-r2.apk                            25-Oct-2024 20:13              288112
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 20:13                2350
vera++-1.3.0-r10.apk                               25-Oct-2024 20:13              267243
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 20:13               25396
vice-3.9-r0.apk                                    24-Feb-2025 21:15            13861791
vice-doc-3.9-r0.apk                                24-Feb-2025 21:15             2303993
vidcutter-6.0.5.3-r0.apk                           25-Oct-2024 20:13             2928477
vidcutter-doc-6.0.5.3-r0.apk                       25-Oct-2024 20:13               24779
vidcutter-pyc-6.0.5.3-r0.apk                       25-Oct-2024 20:13             1996668
video-trimmer-0.9.0-r0.apk                         25-Oct-2024 20:13              814744
video-trimmer-lang-0.9.0-r0.apk                    25-Oct-2024 20:13               93041
viewnior-1.8-r1.apk                                25-Oct-2024 20:13               76915
viewnior-doc-1.8-r1.apk                            25-Oct-2024 20:13                2147
viewnior-lang-1.8-r1.apk                           25-Oct-2024 20:13               87195
vile-9.8z_p1-r0.apk                                20-Mar-2025 03:18              789699
vile-common-9.8z_p1-r0.apk                         20-Mar-2025 03:18              363068
vile-doc-9.8z_p1-r0.apk                            20-Mar-2025 03:18              365695
vim-airline-0.11-r0.apk                            25-Oct-2024 20:13               88455
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 20:13               12420
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00               68155
vim-rust-305-r0.apk                                25-Oct-2024 20:13               20553
virtctl-1.5.0-r1.apk                               12-Apr-2025 03:09            16340940
virtctl-bash-completion-1.5.0-r1.apk               12-Apr-2025 03:09                5251
virtctl-fish-completion-1.5.0-r1.apk               12-Apr-2025 03:09                4432
virtctl-zsh-completion-1.5.0-r1.apk                12-Apr-2025 03:09                4144
virter-0.28.1-r4.apk                               12-Apr-2025 03:09             6348070
virter-bash-completion-0.28.1-r4.apk               12-Apr-2025 03:09                5200
virter-doc-0.28.1-r4.apk                           12-Apr-2025 03:09               15238
virter-fish-completion-0.28.1-r4.apk               12-Apr-2025 03:09                4436
virter-zsh-completion-0.28.1-r4.apk                12-Apr-2025 03:09                4154
virtualgl-3.1.3-r0.apk                             21-Apr-2025 21:46             2070047
virtualgl-dev-3.1.3-r0.apk                         21-Apr-2025 21:46                6262
virtualgl-doc-3.1.3-r0.apk                         21-Apr-2025 21:46              321211
visidata-2.11.1-r2.apk                             25-Oct-2024 20:13              254322
visidata-doc-2.11.1-r2.apk                         25-Oct-2024 20:13               16243
visidata-pyc-2.11.1-r2.apk                         25-Oct-2024 20:13              509343
visidata-zsh-completion-2.11.1-r2.apk              25-Oct-2024 20:13                7346
vit-2.3.2-r1.apk                                   25-Oct-2024 20:13               82409
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 20:13              155114
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 20:13              418039
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 20:13                3150
vmtouch-1.3.1-r0.apk                               25-Oct-2024 20:13               14280
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 20:13                8233
voikko-fi-2.5-r0.apk                               25-Oct-2024 20:13             1638402
volatility3-2.11.0-r0.apk                          13-Feb-2025 07:45              576005
volatility3-doc-2.11.0-r0.apk                      13-Feb-2025 07:45                3154
volatility3-pyc-2.11.0-r0.apk                      13-Feb-2025 07:45             1084158
volumeicon-0.5.1-r1.apk                            25-Oct-2024 20:13               45781
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 20:13                3853
vym-2.9.26-r0.apk                                  25-Oct-2024 20:13             3010125
vym-doc-2.9.26-r0.apk                              25-Oct-2024 20:13             3555375
w_scan2-1.0.16-r0.apk                              17-Feb-2025 09:35              134728
w_scan2-doc-1.0.16-r0.apk                          17-Feb-2025 09:35                4190
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:38             4867285
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:38               13741
waifu2x-converter-cpp-5.3.4-r8.apk                 16-Jan-2025 22:07            12178800
wakeonlan-0.42-r0.apk                              25-Oct-2024 20:13                4612
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 20:13                7694
walk-1.13.0-r2.apk                                 12-Apr-2025 03:09             3267077
walk-doc-1.13.0-r2.apk                             12-Apr-2025 03:09                2290
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 20:13                5730
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 20:13                7954
wamrc-2.2.0-r0.apk                                 05-Dec-2024 15:55              480364
warpinator-1.8.8-r1.apk                            01-Apr-2025 05:45              220893
warpinator-lang-1.8.8-r1.apk                       01-Apr-2025 05:45              227490
warpinator-nemo-1.8.8-r1.apk                       01-Apr-2025 05:45                4212
wasm-micro-runtime-2.2.0-r0.apk                    05-Dec-2024 15:55                1232
watchbind-0.2.1-r1.apk                             25-Oct-2024 20:13             1140285
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 20:13                6722
watchdog-5.16-r2.apk                               25-Oct-2024 20:13               41874
watchdog-doc-5.16-r2.apk                           25-Oct-2024 20:13               14562
watchmate-0.5.2-r0.apk                             25-Oct-2024 20:13             2365881
watershot-0.2.0-r0.apk                             25-Oct-2024 20:13             1745102
way-displays-1.14.0-r0.apk                         20-Apr-2025 19:57              114973
way-displays-doc-1.14.0-r0.apk                     20-Apr-2025 19:57                4658
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10              212612
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10                3105
waycheck-1.6.0-r0.apk                              23-Feb-2025 22:28               46097
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:18             2796402
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:18              132789
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:18                3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:18              606019
waylevel-1.0.0-r1.apk                              25-Oct-2024 20:13              313760
waynergy-0.0.17-r0.apk                             25-Oct-2024 20:13               47612
wayqt-0.3.0-r0.apk                                 21-Apr-2025 21:41              112809
wayqt-dev-0.3.0-r0.apk                             21-Apr-2025 21:41               18794
wbg-1.2.0-r0.apk                                   25-Oct-2024 20:13               38702
wch-isp-0.4.1-r2.apk                               25-Oct-2024 20:13               10564
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 20:13                2723
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 20:13                1688
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:18              381318
webhookd-1.20.1-r3.apk                             12-Apr-2025 03:09             3566533
webhookd-doc-1.20.1-r3.apk                         12-Apr-2025 03:09                2318
webhookd-openrc-1.20.1-r3.apk                      12-Apr-2025 03:09                2308
weggli-0.2.4-r1.apk                                25-Oct-2024 20:13              843707
welle-cli-2.7-r0.apk                               07-Apr-2025 18:03              327754
welle-io-2.7-r0.apk                                07-Apr-2025 18:03              431766
welle-io-doc-2.7-r0.apk                            07-Apr-2025 18:03                4073
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:18              123116
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:18               16646
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:18             6403512
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:18                1691
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:18                3154
wgcf-2.2.25-r1.apk                                 12-Apr-2025 03:09             4455412
wgcf-bash-completion-2.2.25-r1.apk                 12-Apr-2025 03:09                6246
wgcf-fish-completion-2.2.25-r1.apk                 12-Apr-2025 03:09                4422
wgcf-zsh-completion-2.2.25-r1.apk                  12-Apr-2025 03:09                4144
whatsie-4.16.3-r0.apk                              12-Jan-2025 13:12            16052182
whatsie-doc-4.16.3-r0.apk                          12-Jan-2025 13:12                2253
wiimms-iso-tools-3.04a-r1.apk                      25-Oct-2024 20:13             3845826
wiimms-iso-tools-doc-3.04a-r1.apk                  25-Oct-2024 20:13               66762
wiimms-szs-tools-2.26a-r0.apk                      25-Oct-2024 20:13             5116134
wiimms-szs-tools-doc-2.26a-r0.apk                  25-Oct-2024 20:13               50811
wiki-tui-0.8.2-r1.apk                              25-Oct-2024 20:13             1581064
wiki-tui-doc-0.8.2-r1.apk                          25-Oct-2024 20:13                4718
wine-mono-9.4.0-r0.apk                             24-Dec-2024 10:06            83567451
wine-staging-10.6-r0.apk                           22-Apr-2025 14:39            71565600
wine-staging-dev-10.6-r0.apk                       22-Apr-2025 14:39            10659034
wine-staging-doc-10.6-r0.apk                       22-Apr-2025 14:39               43641
winetricks-20250102-r0.apk                         05-Feb-2025 22:20              176614
winetricks-bash-completion-20250102-r0.apk         05-Feb-2025 22:20                8848
winetricks-doc-20250102-r0.apk                     05-Feb-2025 22:20                3774
wireguard-go-0.0.20230223-r11.apk                  12-Apr-2025 03:09             1285150
wireguard-go-doc-0.0.20230223-r11.apk              12-Apr-2025 03:09                3645
wiremapper-0.10.0-r0.apk                           25-Oct-2024 20:13               22168
witchery-0.0.3-r2.apk                              25-Oct-2024 20:13                3275
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 20:13              176590
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 20:13                2144
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 20:13                3499
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 20:13                3009
wl-gammarelay-0.1.1-r13.apk                        12-Apr-2025 03:09             1795874
wl-ime-type-0.1.1-r0.apk                           13-Apr-2025 09:13                5619
wl-ime-type-doc-0.1.1-r0.apk                       13-Apr-2025 09:13                2248
wl-screenrec-0.1.7-r0.apk                          12-Apr-2025 21:20              583106
wl-screenrec-bash-completion-0.1.7-r0.apk          12-Apr-2025 21:20                2478
wl-screenrec-doc-0.1.7-r0.apk                      12-Apr-2025 21:20                9733
wl-screenrec-fish-completion-0.1.7-r0.apk          12-Apr-2025 21:20                3300
wl-screenrec-zsh-completion-0.1.7-r0.apk           12-Apr-2025 21:20                3742
wlavu-0_git20201101-r1.apk                         25-Oct-2024 20:13               12304
wlclock-1.0.1-r0.apk                               25-Oct-2024 20:13               17851
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 20:13                3366
wlroots0.12-0.12.0-r1.apk                          25-Oct-2024 20:13              281184
wlroots0.12-dbg-0.12.0-r1.apk                      25-Oct-2024 20:13              883010
wlroots0.12-dev-0.12.0-r1.apk                      25-Oct-2024 20:13               62148
wlroots0.15-0.15.1-r6.apk                          25-Oct-2024 20:13              303289
wlroots0.15-dbg-0.15.1-r6.apk                      25-Oct-2024 20:13             1160050
wlroots0.15-dev-0.15.1-r6.apk                      25-Oct-2024 20:13               72130
wlroots0.16-0.16.2-r0.apk                          28-Oct-2024 13:47              356084
wlroots0.16-dbg-0.16.2-r0.apk                      28-Oct-2024 13:47             1234523
wlroots0.16-dev-0.16.2-r0.apk                      28-Oct-2024 13:47               72343
wlroots0.17-0.17.4-r1.apk                          23-Nov-2024 09:00              399397
wlroots0.17-dbg-0.17.4-r1.apk                      23-Nov-2024 09:00             1394890
wlroots0.17-dev-0.17.4-r1.apk                      23-Nov-2024 09:00               79227
wmctrl-1.07-r1.apk                                 25-Oct-2024 20:13               14379
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 20:13                5221
wmi-client-1.3.16-r5.apk                           25-Oct-2024 20:13             2572694
wok-3.0.0-r6.apk                                   25-Oct-2024 20:13              160361
wok-doc-3.0.0-r6.apk                               25-Oct-2024 20:13                3757
wok-lang-3.0.0-r6.apk                              25-Oct-2024 20:13               16427
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 20:13              121524
wol-0.7.1-r3.apk                                   25-Oct-2024 20:13               25508
wol-doc-0.7.1-r3.apk                               25-Oct-2024 20:13                5656
wol-lang-0.7.1-r3.apk                              25-Oct-2024 20:13                8353
wolfssh-1.4.17-r0.apk                              25-Oct-2024 20:13              142776
wolfssh-dev-1.4.17-r0.apk                          25-Oct-2024 20:13              177385
wordgrinder-0.8-r2.apk                             25-Oct-2024 20:13              477190
wordgrinder-doc-0.8-r2.apk                         25-Oct-2024 20:13               18794
wpa_actiond-1.4-r7.apk                             25-Oct-2024 20:13               10929
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 20:13                2273
wput-0.6.2-r4.apk                                  25-Oct-2024 20:13               46045
wput-doc-0.6.2-r4.apk                              25-Oct-2024 20:13                8446
wroomd-0.1.0-r0.apk                                25-Oct-2024 20:13             1033047
wroomd-openrc-0.1.0-r0.apk                         25-Oct-2024 20:13                1744
wshowkeys-1.0-r0.apk                               25-Oct-2024 20:13               14439
wsmancli-2.6.2-r0.apk                              25-Oct-2024 20:13               18059
wsmancli-doc-2.6.2-r0.apk                          25-Oct-2024 20:13                3785
wtfutil-0.43.0-r12.apk                             12-Apr-2025 03:09            21414958
x11docker-7.6.0-r1.apk                             25-Oct-2024 20:13              116165
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 20:13                9635
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36               74604
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36               17614
xcape-1.2-r0.apk                                   25-Oct-2024 20:13                7469
xcape-doc-1.2-r0.apk                               25-Oct-2024 20:13                2905
xcompmgr-1.1.9-r0.apk                              25-Oct-2024 20:13               16245
xcompmgr-doc-1.1.9-r0.apk                          25-Oct-2024 20:13                2671
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 20:13               72163
xed-3.8.2-r0.apk                                   05-Feb-2025 22:20             1133894
xed-dev-3.8.2-r0.apk                               05-Feb-2025 22:20               13926
xed-doc-3.8.2-r0.apk                               05-Feb-2025 22:20              994154
xed-lang-3.8.2-r0.apk                              05-Feb-2025 22:20             2177410
xed-python-3.8.2-r0.apk                            05-Feb-2025 22:20               24848
xendmail-0.4.3-r0.apk                              25-Oct-2024 20:13             1005355
xendmail-doc-0.4.3-r0.apk                          25-Oct-2024 20:13                2588
xf86-video-vboxvideo-1.0.0-r1.apk                  25-Oct-2024 20:13               17393
xf86-video-vboxvideo-doc-1.0.0-r1.apk              25-Oct-2024 20:13                3272
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 20:13               40117
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 20:13                5187
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 20:13               91989
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 20:13                2565
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 20:13               60173
xfce4-panel-profiles-1.0.14-r1.apk                 25-Oct-2024 20:13               57888
xfce4-panel-profiles-doc-1.0.14-r1.apk             25-Oct-2024 20:13               19901
xfce4-panel-profiles-lang-1.0.14-r1.apk            25-Oct-2024 20:13               45387
xfd-1.1.4-r0.apk                                   25-Oct-2024 20:13               13832
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 20:13                5046
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 20:13              282995
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 20:13                2611
xiccd-0.3.0_git20211219-r1.apk                     25-Oct-2024 20:13               18359
xiccd-doc-0.3.0_git20211219-r1.apk                 25-Oct-2024 20:13                3381
xisxwayland-2-r1.apk                               25-Oct-2024 20:13                4282
xisxwayland-doc-2-r1.apk                           25-Oct-2024 20:13                2025
xkb-switch-1.8.5-r0.apk                            25-Oct-2024 20:13               17852
xkb-switch-doc-1.8.5-r0.apk                        25-Oct-2024 20:13                1931
xlhtml-0.5.1-r0.apk                                25-Oct-2024 20:13               11462
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 20:13                2568
xload-1.1.4-r0.apk                                 25-Oct-2024 20:13                6986
xload-doc-1.1.4-r0.apk                             25-Oct-2024 20:13                3283
xmag-1.0.8-r0.apk                                  25-Oct-2024 20:13               17176
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 20:13                4856
xml2rfc-3.28.1-r0.apk                              18-Apr-2025 11:01              360225
xml2rfc-pyc-3.28.1-r0.apk                          18-Apr-2025 11:01              417259
xmp-4.2.0-r0.apk                                   25-Oct-2024 20:13               22551
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 20:13                5445
xmpp-dns-0.2.4-r23.apk                             12-Apr-2025 03:09             2107165
xmppipe-0.16.0-r1.apk                              25-Oct-2024 20:13               16661
xone-src-0.3_git20230517-r0.apk                    25-Oct-2024 20:13               44483
xonsh-0.19.1-r0.apk                                05-Feb-2025 22:20              598629
xonsh-pyc-0.19.1-r0.apk                            05-Feb-2025 22:20             1064524
xosview-1.24-r0.apk                                25-Oct-2024 20:13              147694
xosview-doc-1.24-r0.apk                            25-Oct-2024 20:13               12821
xsane-0.999-r2.apk                                 25-Oct-2024 20:13             1558800
xsane-doc-0.999-r2.apk                             25-Oct-2024 20:13                4414
xsane-lang-0.999-r2.apk                            25-Oct-2024 20:13              450897
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 20:13               66598
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 20:13               18177
xsoldier-1.8-r2.apk                                25-Oct-2024 20:13               68988
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 20:13                2683
xtensor-0.25.0-r0.apk                              15-Jan-2025 02:52              273873
xtl-0.7.7-r0.apk                                   25-Oct-2024 20:13              113215
xva-img-1.5-r0.apk                                 25-Oct-2024 20:13               18547
xvidtune-1.0.4-r0.apk                              25-Oct-2024 20:13               17601
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 20:13                4274
xvile-9.8z_p1-r0.apk                               20-Mar-2025 03:18              816812
xvkbd-4.1-r2.apk                                   25-Oct-2024 20:13              300002
xvkbd-doc-4.1-r2.apk                               25-Oct-2024 20:13               11033
xwayland-satellite-0.5.1-r0.apk                    02-Mar-2025 23:01              851747
xwaylandvideobridge-0.4.0-r1.apk                   25-Oct-2024 20:13               51226
xwaylandvideobridge-lang-0.4.0-r1.apk              25-Oct-2024 20:13               11294
yamkix-0.10.0-r1.apk                               25-Oct-2024 20:13               14570
yamkix-pyc-0.10.0-r1.apk                           25-Oct-2024 20:13               12060
yarn-berry-4.9.1-r0.apk                            15-Apr-2025 21:57             1064072
yaru-common-23.10.0-r2.apk                         13-Mar-2025 06:06                4581
yaru-icon-theme-23.10.0-r2.apk                     13-Mar-2025 06:06            36357916
yaru-icon-theme-bark-23.10.0-r2.apk                13-Mar-2025 06:06             1091111
yaru-icon-theme-blue-23.10.0-r2.apk                13-Mar-2025 06:06             1132047
yaru-icon-theme-magenta-23.10.0-r2.apk             13-Mar-2025 06:06             1107731
yaru-icon-theme-mate-23.10.0-r2.apk                13-Mar-2025 06:06             1301810
yaru-icon-theme-olive-23.10.0-r2.apk               13-Mar-2025 06:06             1104644
yaru-icon-theme-prussiangreen-23.10.0-r2.apk       13-Mar-2025 06:06             1118693
yaru-icon-theme-purple-23.10.0-r2.apk              13-Mar-2025 06:06             1154626
yaru-icon-theme-red-23.10.0-r2.apk                 13-Mar-2025 06:06             1161588
yaru-icon-theme-sage-23.10.0-r2.apk                13-Mar-2025 06:06             1140753
yaru-icon-theme-viridian-23.10.0-r2.apk            13-Mar-2025 06:06             1116089
yaru-schemas-23.10.0-r2.apk                        13-Mar-2025 06:06                1834
yaru-shell-23.10.0-r2.apk                          13-Mar-2025 06:06              753040
yaru-sounds-23.10.0-r2.apk                         13-Mar-2025 06:06              691828
yaru-theme-23.10.0-r2.apk                          13-Mar-2025 06:06              859640
yaru-theme-bark-23.10.0-r2.apk                     13-Mar-2025 06:06              781162
yaru-theme-blue-23.10.0-r2.apk                     13-Mar-2025 06:06              782873
yaru-theme-hdpi-23.10.0-r2.apk                     13-Mar-2025 06:06               74956
yaru-theme-magenta-23.10.0-r2.apk                  13-Mar-2025 06:06              779621
yaru-theme-mate-23.10.0-r2.apk                     13-Mar-2025 06:06              744798
yaru-theme-olive-23.10.0-r2.apk                    13-Mar-2025 06:06              777273
yaru-theme-prussiangreen-23.10.0-r2.apk            13-Mar-2025 06:06              777900
yaru-theme-purple-23.10.0-r2.apk                   13-Mar-2025 06:06              774583
yaru-theme-red-23.10.0-r2.apk                      13-Mar-2025 06:06              778625
yaru-theme-sage-23.10.0-r2.apk                     13-Mar-2025 06:06              780706
yaru-theme-viridian-23.10.0-r2.apk                 13-Mar-2025 06:06              777815
yazi-25.4.8-r0.apk                                 11-Apr-2025 18:13             4955295
yazi-bash-completion-25.4.8-r0.apk                 11-Apr-2025 18:13                2159
yazi-cli-25.4.8-r0.apk                             11-Apr-2025 18:13             1029133
yazi-doc-25.4.8-r0.apk                             11-Apr-2025 18:13                2315
yazi-fish-completion-25.4.8-r0.apk                 11-Apr-2025 18:13                1895
yazi-zsh-completion-25.4.8-r0.apk                  11-Apr-2025 18:13                2234
ydcv-0.7-r8.apk                                    25-Oct-2024 20:13               20503
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 20:13               10828
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 20:13                2205
yeti-1.1-r0.apk                                    25-Oct-2024 20:13              835619
yices2-2.6.5-r0.apk                                02-Mar-2025 23:05             2435554
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:05               42385
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:05              901426
ymuse-0.22-r0.apk                                  13-Apr-2025 23:48             4193825
yodl-4.02.00-r1.apk                                25-Oct-2024 20:13              155964
yodl-doc-4.02.00-r1.apk                            25-Oct-2024 20:13               60408
yoshimi-2.3.3.3-r0.apk                             13-Mar-2025 22:33             6615665
yoshimi-doc-2.3.3.3-r0.apk                         13-Mar-2025 22:33             4740674
yosys-0.42-r0.apk                                  25-Oct-2024 20:13            19981869
yosys-dev-0.42-r0.apk                              25-Oct-2024 20:13              121543
youki-0.4.1-r0.apk                                 25-Oct-2024 20:13             1578246
youki-dbg-0.4.1-r0.apk                             25-Oct-2024 20:13                4710
youtube-tui-0.8.1-r0.apk                           27-Feb-2025 12:29             1465405
youtube-viewer-3.11.1-r0.apk                       25-Oct-2024 20:13               85477
youtube-viewer-doc-3.11.1-r0.apk                   25-Oct-2024 20:13               41757
youtube-viewer-gtk-3.11.1-r0.apk                   25-Oct-2024 20:13              175090
ytmdl-2024.08.15.1-r0.apk                          25-Oct-2024 20:13               51229
ytmdl-bash-completion-2024.08.15.1-r0.apk          25-Oct-2024 20:13                2291
ytmdl-pyc-2024.08.15.1-r0.apk                      25-Oct-2024 20:13               79949
ytmdl-zsh-completion-2024.08.15.1-r0.apk           25-Oct-2024 20:13                2132
yubikey-agent-0.1.6-r11.apk                        12-Apr-2025 03:09             2085644
z-1.12-r0.apk                                      25-Oct-2024 20:13                4717
z-doc-1.12-r0.apk                                  25-Oct-2024 20:13                4011
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 20:13            20169315
zapret-0.0.0_git20220125-r1.apk                    25-Oct-2024 20:13               92082
zapret-doc-0.0.0_git20220125-r1.apk                25-Oct-2024 20:13              100826
zapret-openrc-0.0.0_git20220125-r1.apk             25-Oct-2024 20:13                2133
zapzap-6.0.1.8-r0.apk                              13-Apr-2025 23:48              111431
zapzap-pyc-6.0.1.8-r0.apk                          13-Apr-2025 23:48              128966
zarchive-0.1.2-r2.apk                              25-Oct-2024 20:13               17747
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 20:13                6920
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 20:13               27134
zfs-src-2.2.4-r1.apk                               09-Jan-2025 11:46            33987605
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 20:13              131326
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 20:13               16174
zile-2.6.2-r1.apk                                  25-Oct-2024 20:13              122858
zile-doc-2.6.2-r1.apk                              25-Oct-2024 20:13               16184
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 20:13               32596
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 20:13                5362
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16               21326
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16                3332
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16                4137
zlevis-1.3-r0.apk                                  14-Mar-2025 17:31                5352
znc-backlog-0_git20210503-r8.apk                   25-Oct-2024 20:13               23313
znc-clientbuffer-1.0.48-r8.apk                     25-Oct-2024 20:13               19725
znc-playback-0_git20210503-r8.apk                  25-Oct-2024 20:13               21402
znc-push-0_git20220823-r8.apk                      25-Oct-2024 20:13               99454
zrepl-0.6.1-r10.apk                                12-Apr-2025 03:09             7357903
zrepl-bash-completion-0.6.1-r10.apk                12-Apr-2025 03:09                4579
zrepl-openrc-0.6.1-r10.apk                         12-Apr-2025 03:09                1770
zrepl-zsh-completion-0.6.1-r10.apk                 12-Apr-2025 03:09                1995
zsh-fzf-tab-0_git20220331-r1.apk                   25-Oct-2024 20:13               16435
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 20:13              763887
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 20:13                2935
zvbi-0.2.44-r0.apk                                 11-Mar-2025 20:28              174137
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 20:28               21554
zycore-1.5.0-r0.apk                                25-Oct-2024 20:13               23251
zycore-dev-1.5.0-r0.apk                            25-Oct-2024 20:13               39332
zycore-doc-1.5.0-r0.apk                            25-Oct-2024 20:13              403594
zydis-4.1.0-r0.apk                                 25-Oct-2024 20:13              209463
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 20:13               62305
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 20:13             1652046